Abstract
The dark silicon era is driving the emergence of asymmetric computing platforms consisting of cores with diverse power-performance characteristics enabling better match between a task’s requirements and the compute engine leading to substantially improved energy-efficiency. In this chapter, we discuss the challenges and opportunities offered by asymmetric multi-cores towards low-power, high-performance mobile computing. We present a comprehensive power management framework that can guarantee Quality of Service (QoS), while minimizing energy consumption within the Thermal Design Power (TDP) budget.
Access this chapter
Tax calculation will be finalised at checkout
Purchases are for personal use only
References
K. Agarwal, K. Nowka, H. Deogun, D. Sylvester, Power gating with multiple sleep modes, in International Symposium on Quality Electronic Design (ISQED) (2006)
AnandTech, The Samsung Exynos 7420 Deep Dive - Inside A Modern 14nm SoC. http://www.anandtech.com/show/9330/exynos-7420-deep-dive. Accessed 2015
C. Bienia, S. Kumar, J.P. Singh, K. Li, The PARSEC benchmark suite: characterization and architectural implications, in Parallel Architectures and Compilation Techniques (PACT) (2008)
J. Cong, B. Yuan, Energy-efficient scheduling on heterogeneous multi-core architectures, in International symposium on Low power electronics and design (ISLPED) (2012)
T. Ebi, M Faruque, J. Henkel, TAPE: thermal-aware agent-based power economy for multi/many-core architectures, in International Conference on Computer-Aided Design (ICCAD) (2009)
H. Esmaeilzadeh, E. Blem, R.S. Amant, K. Sankaralingam, D. Burger, Dark silicon and the end of multicore scaling, in International Symposium on Computer Architecture (ISCA) (2011)
P. Greenhalgh, Big.LITTLE Processing with ARM Cortex-A15 & Cortex-A7. ARM Whitepaper (2011)
J. Henkel, M.U.K. Khan, M. Shafique, Energy-efficient multimedia systems for high efficiency video coding, in International Symposium on Circuits and Systems (ISCAS) (2015)
J. Henkel, H. Khdr, S. Pagani, M. Shafique, New trends in dark silicon, in Design Automation Conference (DAC) (2015)
J.L. Henning, SPEC CPU2006 benchmark descriptions. Computer Architecture News (CAN) (2006)
H. Hoffmann, J. Eastep, M.D. Santambrogio, J.E. Miller, A. Agarwal, Application heartbeats: a generic interface for specifying program performance and goals in autonomous computing environments, in International Conference on Autonomic Computing (ICAC) (2010)
Ineda Systems, http://inedasystems.com/hierarchical-computing.html. Accessed 2016
T.S. Karkhanis, J.E. Smith, A first-order superscalar processor model, in Computer Architecture News (CAN) (2004)
D. Koufaty, D. Reddy, S. Hahn, Bias Scheduling in Heterogeneous Multi-Core Architectures, in European Conference on Computer Systems (EuroSys) (2010)
R. Kumar, K.I. Farkas, N.P. Jouppi, P. Ranganathan, D.M. Tullsen, Single-ISA heterogeneous multi-core architectures: the potential for processor power reduction, in International Symposium on Microarchitecture (MICRO) (2003)
S. Landsburg, Price Theory and Applications, Cengage Learning, USA 2014
T. Li, D. Baumberger, D.A. Koufaty, S. Hahn, Efficient operating system scheduling for performance-asymmetric multi-core architectures, in International conference on Supercomputing (ICS) (2007)
T. Li, P. Brett, R. Knauerhase, D. Koufaty, D. Reddy, S. Hahn, Operating system support for overlapping-ISA heterogeneous multi-core architectures, in International Symposium on High Performance Computer Architecture (HPCA) (2010)
R. Merritt, ARM CTO: power surge could create dark silicon. EE Times (2009)
T. Mitra, Heterogeneous multi-core architectures. Transactions on System LSI Design Methodology (T-SLDM) (2015)
T.S. Muthukaruppan, M. Pricopi, V. Venkataramani, T. Mitra, S. Vishin, Hierarchical power management for asymmetric multi-core in dark silicon era, in Design Automation Conference (DAC) (2013)
T.S. Muthukaruppan, A. Pathania, T. Mitra, Price theory based power management for heterogeneous multi-cores, in Architectural Support for Programming Languages and Operating Systems (ASPLOS) (2014)
nVidia, A multi-core CPU architecture for low power and high performance. nVidia Whitepaper (2011)
C.S. Pabla, Completely fair scheduler. Linux J. 2009 (184), 4(2009)
M. Pricopi, T.S. Muthukaruppan, V. Venkataramani, T. Mitra, S. Vishin, Power-performance modeling on asymmetric multi-cores, in Compilers, Architecture and Synthesis for Embedded Systems (CASES) (2013)
T.S. Rosing, K. Mihic, G. De Micheli, Power and reliability management of SoCs. Transactions on Very Large Scale Integration Systems (TVLSI) (2007)
J.C. Saez, M. Prieto, A. Fedorova, S. Blagodurov, A comprehensive scheduler for asymmetric multicore systems, in European conference on Computer systems (EuroSys) (2010)
G. Semeraro, G. Magklis, R. Balasubramonian, D.H. Albonesi, S. Dwarkadas, M.L. Scott, Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling, in International Symposium on High Performance Computer Architecture (HPCA) (2002)
M. Shafique, S. Garg, T. Mitra, S. Parameswaran, J. Henkel, Dark silicon as a challenge for hardware/software co-design, in Conference on Hardware/Software Codesign and System Synthesis (CODES) (2014)
A.K. Singh, M. Shafique, A. Kumar, J. Henkel, Mapping on multi/many-core systems: survey of current and emerging trends, in Design Automation Conference (DAC) (2013)
B. Su, J. Gu, L. Shen, W. Huang, J.L. Greathouse, Z. Wang, PPEP: Online performance, power, and energy prediction framework and DVFS space exploration, in International Symposium on Microarchitecture (MICRO) (2014)
M.B. Taylor, Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon apocalypse, in Design Automation Conference (DAC) (2012)
K. Van Craeynest, A. Jaleel, L. Eeckhout, P. Narvaez, J. Emer, Scheduling heterogeneous multi-cores through performance impact estimation (PIE), in International Symposium on Computer Architecture (ISCA) (2012)
S.K. Venkata, I. Ahn, D. Jeon, A. Gupta, C. Louie, S. Garcia, S. Belongie, M.B. Taylor, SD-VBS: the San Diego vision benchmark suite, in International Symposium on Workload Characterization (IISWC) (2009)
Versatile Express Board from ARM Ltd., http://www.arm.com/products/tools/development-boards/versatile-express/index.php. Accessed 2016
Acknowledgements
This work was partially supported by CSR research funding and Singapore Ministry of Education Academic Research Fund Tier 2 MOE2012-T2-1-115.
Author information
Authors and Affiliations
Corresponding author
Editor information
Editors and Affiliations
Rights and permissions
Copyright information
© 2017 Springer International Publishing Switzerland
About this chapter
Cite this chapter
Mitra, T., Muthukaruppan, T.S., Pathania, A., Pricopi, M., Venkataramani, V., Vishin, S. (2017). Power Management of Asymmetric Multi-Cores in the Dark Silicon Era. In: Rahmani, A., Liljeberg, P., Hemani, A., Jantsch, A., Tenhunen, H. (eds) The Dark Side of Silicon. Springer, Cham. https://doi.org/10.1007/978-3-319-31596-6_6
Download citation
DOI: https://doi.org/10.1007/978-3-319-31596-6_6
Published:
Publisher Name: Springer, Cham
Print ISBN: 978-3-319-31594-2
Online ISBN: 978-3-319-31596-6
eBook Packages: EngineeringEngineering (R0)