Skip to main content

Heterogeneous Dark Silicon Chip Multi-Processors: Design and Run-Time Management

  • Chapter
  • First Online:
Book cover The Dark Side of Silicon

Abstract

A fundamental research question given the dark silicon problem is how best to leverage the abundance of transistors on the chip. In this chapter, we describe two solutions to this problem. In the first, we exploit the inherent variations in process parameters that exist in scaled technologies to offer increased performance. Since process variations result in core-to-core variations in power and frequency, the idea is to cherry pick the best subset of cores for an application so as to maximize performance within the power budget. Second, we describe an approach for synthesis of micro-architecturally dark silicon chip multi-processors. The goal is to determine the optimal number of cores of each type to provision the processor with, such that the area and power budgets are met and the application performance is maximized.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 139.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. F. Angiolini, J. Ceng, R. Leupers, F. Ferrari, C. Ferri, L. Benini, An integrated open framework for heterogeneous MPSoC design space exploration, in Proceedings of DATE’06 (2006)

    Google Scholar 

  2. C. Bienia, S. Kumar, J.P. Singh, K. Li, The parsec benchmark suite: characterization and architectural implications, in Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (ACM, 2008), pp. 72–81

    Google Scholar 

  3. K.A. Bowman, S.G. Duvall, J.D. Meindl, Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration. IEEE J. Solid-State Circuits 37 (2), 183–190 (2002)

    Article  Google Scholar 

  4. T.E. Carlson, W. Heirmant, L. Eeckhout, Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulation, in 2011 International Conference for High Performance Computing, Networking, Storage and Analysis (SC) (IEEE, 2011), pp. 1–12

    Google Scholar 

  5. H. Esmaeilzadeh, E. Blem, R.S. Amant, K. Sankaralingam, D. Burger, Dark silicon and the end of multicore scaling, in Proceeding of the 38th annual international symposium on Computer architecture (ACM, 2011), pp. 365–376

    Google Scholar 

  6. N. Goulding, J. Sampson, G. Venkatesh, S. Garcia, J. Auricchio, J. Babb, M.B. Taylor, S. Swanson, Greendroid: a mobile application processor for a future of dark silicon. Hot Chips (2010), pp. 1–39

    Google Scholar 

  7. Gurobi Optimizer (2016) (www.gurobi.com)

  8. S. Herbert, D. Marculescu, Characterizing chip-multiprocessor variability-tolerance, in 45th ACM/IEEE Design Automation Conference, 2008 (DAC, 2008) (IEEE, 2008), pp. 313–318

    Google Scholar 

  9. A. Kumar, B. Mesman, B. Theelen, H. Corporaal, Y. Ha, Analyzing composability of applications on MPSoC platforms. J. Syst. Archit. 54 (3–4), 369–383 (2008)

    Article  Google Scholar 

  10. S. Li, J.H. Ahn, R.D. Strong, J.B. Brockman, D.M. Tullsen, N.P. Jouppi, Mcpat: an integrated power, area, and timing modeling framework for multicore and manycore architectures, in 42nd Annual IEEE/ACM International Symposium on Microarchitecture, 2009 (MICRO-42) (IEEE, 2009), pp. 469–480

    Google Scholar 

  11. S. Li, J.H. Ahn, R.D. Strong, J.B. Brockman, D.M. Tullsen, N.P. Jouppi, Mcpat: an integrated power, area, and timing modeling framework for multicore and manycore architectures, in Microarchitecture, 2009 (IEEE, 2009)

    Google Scholar 

  12. R. Teodorescu, J. Torrellas, Variation-aware application scheduling and power management for chip multiprocessors, in ACM SIGARCH Computer Architecture News, vol. 36 (IEEE Computer Society, 2008), pp. 363–374

    Google Scholar 

  13. Y. Turakhia, B. Raghunathan, S. Garg, D. Marculescu, Hades: Architectural synthesis for heterogeneous dark silicon chip multi-processors, in Proceedings of the 50th Annual Design Automation Conference (ACM, 2013), p. 173

    Google Scholar 

  14. S.C. Woo, M. Ohara, E. Torrie, J.P. Singh, A. Gupta, The splash-2 programs: characterization and methodological considerations, in ACM SIGARCH Computer Architecture News, vol. 23 (ACM, 1995), pp. 24–36

    Google Scholar 

  15. J. Xiong, V. Zolotov, L. He, Robust extraction of spatial correlation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26 (4), 619–631 (2007)

    Google Scholar 

  16. W. Zhao, Y. Cao, New generation of predictive technology model for sub-45 nm early design exploration. IEEE Trans. Electron Devices 53 (11), 2816–2823 (2006)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Siddharth Garg .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Garg, S., Turakhia, Y., Marculescu, D. (2017). Heterogeneous Dark Silicon Chip Multi-Processors: Design and Run-Time Management. In: Rahmani, A., Liljeberg, P., Hemani, A., Jantsch, A., Tenhunen, H. (eds) The Dark Side of Silicon. Springer, Cham. https://doi.org/10.1007/978-3-319-31596-6_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-31596-6_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-31594-2

  • Online ISBN: 978-3-319-31596-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics