Skip to main content

Resizable Data Composer (RDC) Cache: A Near-Threshold Cache Tolerating Process Variation via Architectural Fault Tolerance

  • Chapter
Near Threshold Computing

Abstract

In this chapter a novel fault tolerant cache architecture named Resizable Data Composer-Cache (RDC-Cache) is introduced. This configurable cache architecture is custom designed to operate correctly in Near-Threshold voltages, at sub 500 mV in 65 nm technology while tolerating a large number of Manufacturing Process Variation induced defects. Based on a smart relocation and resizing methodology, RDC-Cache decomposes the data that is targeted for a defective cache way and relocates one or more data words to a new location avoiding a write to defective bit locations. When servicing a read request, the requested data is reconstructed through an inverse operation. For the purpose of fault tolerance at low voltages the cache size is reduced, however, in this architecture the final cache size is considerably higher compared to previously suggested resizable cache organizations (Agarwal et al., Trans Solid State Circuits 40(9), 2005; Wilkerson et al., ISCA, 2008). The following three features (a) compaction of relocated words, (b) ability to use defective words for fault tolerance and (c) “linking” (relocating the defective word to any row in the next bank), allows this architecture to achieve far larger fault tolerance in comparison to Agarwal et al. (Trans Solid State Circuits 40(9)) and Wilkerson et al. (ISCA, 2008). In high voltage mode, the fault tolerant mechanism of RDC-Cache is turned-off with minimal (0.91 %) latency overhead compared to a traditional cache.

The original version of this chapter was revised. An erratum to this chapter can be found at DOI 10.1007/978-3-319-23389-5_6

An erratum to this chapter can be found at http://dx.doi.org/10.1007/978-3-319-23389-5_6

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Jaffari J, Anis M (2008) Variability-aware bulk-MOS device design. Comp Aided Design of Integrated Circuits and Systems, IEEE TCAD, February 2008

    Google Scholar 

  2. Nassif SR (2001) Modeling and analysis of manufacturing variation. In: Proceedings of the IEEE conference on custom integrated circuits 2001, pp 223–228. doi:10.1109/CICC.2001.929760

    Google Scholar 

  3. Borkar S et al (2003) Process variation and impact on circuits and micro architectures. In: Proceedings of the 40th annual design automation conference 2003, pp 338–342

    Google Scholar 

  4. Mukhopadhyay S et al (2005) Modeling of failure probability and statistical design of SRAM array for yield enhancement in NanoScaled CMOS. CADICS DEC 2005

    Google Scholar 

  5. Bhavnagarwala AJ, Tang X, Meindl JD et al (2001) The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE J Solid State Circuits 36(4):658–665. doi:10.1109/4.913744

    Google Scholar 

  6. Mahmoodi H et al (2003) Modeling of failure probability and statistical design of SRAM array for yield enhancement in nano-scaled CMOS. IEEE Trans Comput Aided Des Integr Circuits Syst 24(12):1859–1880

    Google Scholar 

  7. Wilkerson C et al (2008) Trading off cache capacity for reliability to enable low voltage operation. In: Proceedings of the 35th annual international symposium on computer architecture, 2008, pp 203–214

    Google Scholar 

  8. Agarwal A et al (2005) Process variation in embedded memories: failure analysis and variation aware architecture. Trans Solid State Circuits 40(9):1804–1814

    Google Scholar 

  9. Kulkarni JP et al (2007) A 160 mV robust Schmitt trigger based subthreshold SRAM. IEEE J Solid State Circuits 42(10):2303–2313

    Article  Google Scholar 

  10. Schuster SE (1978) Multiple word/bit line redundancy for semiconductor memories. IEEE J Solid State Circuits SC-13(5):698–703

    Google Scholar 

  11. Horiguchi M (1997) Redundancy techniques for high-density DRAMs. In: Proceedings of the second annual IEEE international conference on innovative systems in silicon, 8–10 October 1997, pp 22–29

    Google Scholar 

  12. Kim J, Hardavellas N, Mai K, Falsafi B, Hoe JC (2007) Multi-bit error tolerant caches using two-dimensional error coding. In: Proceedings of the 40th annual IEEE/ACM international symposium on microarchitecture, 1–5 December 2007, pp 197–209. doi:10.1109/MICRO.2007.19

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Fadi J. Kurdahi .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Sasan, A., Kurdahi, F.J., Eltawil, A.M. (2016). Resizable Data Composer (RDC) Cache: A Near-Threshold Cache Tolerating Process Variation via Architectural Fault Tolerance. In: Hübner, M., Silvano, C. (eds) Near Threshold Computing. Springer, Cham. https://doi.org/10.1007/978-3-319-23389-5_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-23389-5_4

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-23388-8

  • Online ISBN: 978-3-319-23389-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics