Abstract
In this book, we have proposed a set of algorithms/methodologies to resolve issues in modern design for manufacturability (DFM) problems with advanced lithography. The methodologies proposed are flexible that they can be easily extended to handle other emerging lithography challenges in layout design stages.
Access this chapter
Tax calculation will be finalised at checkout
Purchases are for personal use only
References
Yu, B., Gao, J.-R., Pan, D.Z.: Triple patterning lithography (TPL) layout decomposition using end-cutting. In: Proceedings of SPIE, vol. 8684 (2013)
Yu, B., Roy, S., Gao, J.-R., Pan, D.Z.: Triple patterning lithography layout decomposition using end-cutting. J. Micro/Nanolithogr. MEMS MOEMS (JM3) 14(1), 011002 (2015)
Kohira, Y., Matsui, T., Yokoyama, Y., Kodama, C., Takahashi, A., Nojima, S., Tanaka, S.: Fast mask assignment using positive semidefinite relaxation in LELECUT triple patterning lithography. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 665–670 (2015)
Yu, B., Xu, X., Gao, J.-R., Pan, D.Z.: Methodology for standard cell compliance and detailed placement for triple patterning lithography. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 349–356 (2013)
Yu, B., Xu, X., Gao, J.-R., Lin, Y., Li, Z., Alpert, C., Pan, D.Z.: Methodology for standard cell compliance and detailed placement for triple patterning lithography. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 34(5), 726–739 (2015)
Kuang, J., Chow, W.-K., Young, E.F.Y.: Triple patterning lithography aware optimization for standard cell based design. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 108–115 (2014)
Chien, H.-A., Chen, Y.-H., Han, S.-Y., Lai, H.-Y., Wang, T.-C.: On refining row-based detailed placement for triple patterning lithography. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 34(5), 778–793 (2015)
Lin, T., Chu, C.: TPL-aware displacement-driven detailed placement refinement with coloring constraints. In: ACM International Symposium on Physical Design (ISPD), pp. 75–80 (2015)
Tian, H., Du, Y., Zhang, H., Xiao, Z., Wong, M.D.F.: Triple patterning aware detailed placement with constrained pattern assignment. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 116–123 (2014)
Gao, J.-R., Yu, B., Huang, R., Pan, D.Z.: Self-aligned double patterning friendly configuration for standard cell library considering placement. In: Proceedings of SPIE, vol. 8684 (2013)
Yi, H., Bao, X.-Y., Zhang, J., Tiberio, R., Conway, J., Chang, L.-W., Mitra, S., Wong, H.-S.P.: Contact-hole patterning for random logic circuit using block copolymer directed self-assembly. In: Proceedings of SPIE, vol. 8323 (2012)
Xiao, Z., Du, Y., Wong, M.D., Zhang, H.: DSA template mask determination and cut redistribution for advanced 1D gridded design. In: Proceedings of SPIE, vol. 8880 (2013)
Du, Y., Guo, D., Wong, M.D.F., Yi, H., Wong, H.-S.P., Zhang, H., Ma, Q.: Block copolymer directed self-assembly (DSA) aware contact layer optimization for 10 nm 1D standard cell library. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 186–193 (2013)
Du, Y., Xiao, Z., Wong, M.D., Yi, H., Wong, H.-S.P.: DSA-aware detailed routing for via layer optimization. In: Proceedings of SPIE, vol. 9049 (2014)
Ou, J., Yu, B., Gao, J.-R., Pan, D.Z., Preil, M., Latypov, A.: Directed self-assembly based cut mask optimization for unidirectional design. In: ACM Great Lakes Symposium on VLSI (GLSVLSI), pp. 83–86 (2015)
Zhang, H., Du, Y., Wong, M.D.F., Tapalaglu, R.O.: Efficient pattern relocation for EUV blank defect mitigation. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 719–724 (2012)
Zhang, H., Du, Y., Wong, M.D.F., Deng, Y., Mangat, P.: Layout small-angle rotation and shift for EUV defect mitigation. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 43–49 (2012)
Du, Y., Zhang, H., Ma, Q., Wong, M.D.F.: Linear time algorithm to find all relocation positions for EUV defect mitigation. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 261–266 (2013)
Kagalwalla, A.A., Gupta, P., Hur, D.-H., Park, C.-H.: Defect-aware reticle floorplanning for EUV masks. In: Proceedings of SPIE, vol. 7479 (2011)
Fang, S.-Y., Chang, Y.-W.: Simultaneous flare level and flare variation minimization with dummification in EUVL. In: ACM/IEEE Design Automation Conference (DAC), pp. 1179–1184 (2012)
Pan, D.Z., Yu, B., Gao, J.-R.: Design for manufacturing with emerging nanolithography. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 32(10), 1453–1472 (2013)
Jhaveri, T., Rovner, V., Liebmann, L., Pileggi, L., Strojwas, A.J., Hibbeler, J.D.: Co-optimization of circuits, layout and lithography for predictive technology scaling beyond gratings. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 29(4), 509–527 (2010)
Du, Y., Zhang, H., Wong, M.D.F., Chao, K.-Y.: Hybrid lithography optimization with e-beam and immersion processes for 16nm 1D gridded design. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 707–712 (2012)
Liebmann, L., Chu, A., Gutwin, P.: The daunting complexity of scaling to 7 nm without EUV: pushing DTCO to the extreme. In: Proceedings of SPIE, vol. 9427 (2015)
Xu, X., Cline, B., Yeric, G., Yu, B., Pan, D.Z.: Self-aligned double patterning aware pin access and standard cell layout co-optimization. In: ACM International Symposium on Physical Design (ISPD), pp. 101–108 (2014)
Ye, W., Yu, B., Ban, Y.-C., Liebmann, L., Pan, D.Z.: Standard cell layout regularity and pin access optimization considering middle-of-line. In: ACM Great Lakes Symposium on VLSI (GLSVLSI), pp. 289–294 (2015)
Fang, S.-Y.: Cut mask optimization with wire planning in self-aligned multiple patterning full-chip routing. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 396–401 (2015)
Xu, X., Yu, B., Gao, J.-R., Hsu, C.-L., Pan, D.Z.: PARR: pin access planning and regular routing for self-aligned double patterning. In: ACM/IEEE Design Automation Conference (DAC), pp. 28:1–28:6 (2015)
Su, Y.-H., Chang, Y.-W.: Nanowire-aware routing considering high cut mask complexity. In: ACM/IEEE Design Automation Conference (DAC), pp. 138:1–138:6 (2015)
Author information
Authors and Affiliations
Rights and permissions
Copyright information
© 2016 Springer International Publishing Switzerland
About this chapter
Cite this chapter
Yu, B., Pan, D.Z. (2016). Conclusions and Future Works. In: Design for Manufacturability with Advanced Lithography. Springer, Cham. https://doi.org/10.1007/978-3-319-20385-0_6
Download citation
DOI: https://doi.org/10.1007/978-3-319-20385-0_6
Publisher Name: Springer, Cham
Print ISBN: 978-3-319-20384-3
Online ISBN: 978-3-319-20385-0
eBook Packages: EngineeringEngineering (R0)