Skip to main content

Three-Dimensional Integration: A More Than Moore Technology

  • Chapter
  • First Online:

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 350))

Abstract

Three-dimensional integrated circuits (3D-ICs), which contain multiple layers of active devices, have the potential to dramatically enhance chip performance, functionality, and device packing density. They also provide for microchip architecture and may facilitate the integration of heterogeneous materials, devices, and signals and offer a promising solution for reducing both silicon footprint and interconnect length without shrinking the transistors. However, before these advantages can be realized, key technology and CAD challenges of 3D-ICs must be addressed. More specifically, the process required to build circuits with multiple layers of active devices and CAD tools used for design and validation of such circuits. Several such methodologies and CAD tools associated with the design fabrication of 3-D ICs are discussed in this chapter. Few successful 3D-IC design methods and CAD tools and benefits of applying 3D design to the future reconfigurable systems are also discussed in this chapter.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. G. Moore, Cramming more components onto integrated circuits. Proc. IEEE 86(2), 82–85 (1998)

    Article  Google Scholar 

  2. A. Rahman, A. Fan, J. Chung, R. Reif, Wire-length distribution of three-dimensional integrated circuits, in Proceedings of the IEEE International Interconnect Technology Conference, pp. 233–235, May 1999

    Google Scholar 

  3. A. Rahman, R. Reif, System level performance evaluation of three-dimensional integrated circuits. IEEE Trans. Very Large Scale (VLSI) Syst. 8, 671–678 (2000)

    Google Scholar 

  4. D. Stroobandt, J. Van Campenhout, Accurate interconnection lengths in three-dimensional computer systems. IEICE Trans. Inform. Syst. Spec. Issue Phys. Des. Deep Sub-micron 10(1), 99–105 (2000)

    Google Scholar 

  5. J.W. Joyner, Impact of three-dimensional architectures on interconnects in gigascale integration. IEEE Trans. Very Large Scale (VLSI) Syst. 9, 922–928 (2001)

    Google Scholar 

  6. J.W. Joyner, P. Zarkesh-Ha, J.D. Meindl, A Stochastic global net-length distribution for a three-dimensional system-on-a-chip (3D-SoC), in Proceedings IEEE International ASIC/SOC Conference, pp. 147–151, Sep 2001

    Google Scholar 

  7. R. Zhang, K. Roy, C.-K. Koh, D.B. Janes, Stochastic interconnect modeling, power trends, and performance characterization of 3-D circuits. IEEE Trans. Elect. Devices 48, 638–652 (2001)

    Article  Google Scholar 

  8. J.W. Joyner, J.D. Meindl, Opportunities for reduced power distribution using three-dimensional integration, in Proceedings of the IEEE International Interconnect Technology Conference, pp. 148–150, June 2002

    Google Scholar 

  9. B.S. Cherkauer, E.G. Friedman, A unified design methodology for CMOS tapered buffers. IEEE Trans. Very Large Scale (VLSI) Syst. 3, 99–111 (1995)

    Google Scholar 

  10. K. Banerjee, S.K. Souri, P. Kapour, K.C. Saraswat, 3D-ICs: A novel chip design paradigm for improving deep-submicrometer interconnect performance and systems-on-chip integration. Proc. IEEE 89, 602–633 (2001)

    Article  Google Scholar 

  11. M. Koyanagi et al., Future system-on-silicon LSI chips. IEEE Micro 18, 17–22 (1998)

    Google Scholar 

  12. V.K. Jain, S. Bhanja, G.H. Chapman, L. Doddannagari, A highly reconfigurable computing array: DSP plane of a 3D heterogeneous SoC, in Proceedings of the IEEE International System on Chip Conference, pp. 243–246, Sep 2005

    Google Scholar 

  13. V.F. Pavlidis, E.G. Friedman, Three-Dimensional Integrated Circuit Design Morgen Kaufmann (2009). ISBN: 978-0-12-374343-5

    Google Scholar 

  14. R.J. Gutmann et al., Three-dimensional (3D) ICs: a technology platform for integrated systems and opportunities for new polymeric adhesives, in Proceedings of IEEE International Conference on Polymers Adhesives Microelectron. Photon, pp. 173–180, Oct 2001

    Google Scholar 

  15. M. Healy et al., Multiobjective microarchitectural floorplanning for 2-D and 3-D ICs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26, 38–52 (2007)

    Article  Google Scholar 

  16. P. Garrou, C. Bower, P. Ramm, Handbook of 3D Integration (Wiley-VCH, New York, 2008). ISBN: 978-3-527-32034-9

    Google Scholar 

  17. R. Tummula, M. Swaminathan, System-On-Package: Miniaturization of the Entire System (McGraw-Hill, New York, 2008)

    Google Scholar 

  18. J.H. Lau, Critical issues of 3D IC integration, IMAPS transactions. J. Microelectron. Electron. Packag. (First Quarter Issue), 35–43 (2010)

    Google Scholar 

  19. J.H. Lau, Heart and Soul of 3D IC Integration, posted at 3D InCites on June 29 (2010), http://www.semineedle.com/posting/34277. Accessed 29 June 2010

  20. K.W. Guarini, A.T. Topol, M. Ieong, R. Yu, L. Shi, M.R. Newport, D.J. Frank, Electrical integrity of state-of-the-art 0.13\(\upmu \)m SOI CMOS devices and circuits transferred for Three-dimensional (3D) Integrated Circuit (IC) fabrication, in IEDM Technical Digest, pp. 943–945, 2002

    Google Scholar 

  21. R. Berridge, R.M. Averill III, A.E. Barish, M.A. Bowen, P.J. Camporese, J. DiLullo, P.E. Dudley, IBM POWER6 microprocessor physical design and design methodology. IBM J. Res. Dev. 51(6), 685–714 (2007)

    Article  Google Scholar 

  22. Y. Orii, T. Nishio, Ultra-thin POP technologies using 50 \(\mu \)m pitch flip chip C4 interconnections, in Presented at the Electronic Components and Technology Conference (ECTC) (Reno, NV, 2007)

    Google Scholar 

  23. J.J.Q. Lu, R. Gutmann, T. Matthias, P. Lindner, Aligned wafer bonding for 3-D interconnect, http://www.reed-electronics.com/semiconductor/article/CA630263. Accessed Aug 2005

  24. K. Takahaski, Y. Taguchi, M. Tomisaka, H. Yonemara, M. Hoshino, M. Ueno, Y. Egawa, Process integration of 3D chip stack with vertical interconnection, in Proceedings of the 54th Electronic Components and Technology Conference, pp. 601–609, 1–4 June 2004

    Google Scholar 

  25. M. Umemoto, K. Tanida, Y. Nemoto, M. Hoshino, K. Kojima, Y. Shirai, K. Takahashi, High performance vertical interconnection for high-density 3D chip stacking package. in Proceedings of the 54th Electronic Components and Technology Conference, pp. 616–623, 1–4 June 2004

    Google Scholar 

  26. M. Feil, C. Adler, D. Hemmetzberger, M. Konig, K. Bock, The challenge of ultra thin chip assembly, in Proceedings of the 54th Electronic Components and Technology Conference, pp. 35–40, 1–4 June 2004

    Google Scholar 

  27. M. Hutter, F. Hohnke, H. Oppermann, M. Klein, and G. Engelmann, Assembly and reliability of flip chip solder joints using miniaturized Au/Sn bumps, in Proceedings of the 54th Electronic Components and Technology Conference, pp. 49–57 (2004)

    Google Scholar 

  28. V. Kripeshm, S. Yoon, S.W. Yoon, V.P. Ganesh, N. Khan, M.D. Rotaru, W. Fang, M.K. Iyer, Three-dimensional system-in-package using stacked silicon platform technology. IEEE Trans. Adv. Packag. 28(3), 377–386 (2005)

    Article  Google Scholar 

  29. H. Ikeda, M. Kawano, T. Mitsuhashi, Stacked memory chip technology development, in SEMI Technology Symposium (STS) 2005 Proceedings, Session 9, pp. 37–42 (2005)

    Google Scholar 

  30. S. Gupta, M. Hilbert, S. Hong, R. Patti, Techniques for Producing 3D ICs with High-Density Interconnect (Tezzaron Semiconductor Naperville, IL, 2005)

    Google Scholar 

  31. R. Patti, Advances in 3D memory and logic devices, in IMAPS International Conference on Device Packaging, TAI3 (Scottsdale, AZ, 2010)

    Google Scholar 

  32. D. Min Jang, C. Ryu, K. Yong Lee, B. Hoon Cho, J. Kim, T. Sung Oh, W. Jong Lee, J. Yu, Development and evaluation of 3-D SiP with vertically interconnected Through Silicon Vias (TSV), in Proceedings 57th Electronic Components and Technology Conference, ECTC-07, Reno, NV, pp. 847–852 (2007)

    Google Scholar 

  33. M. Sadaka, I. Radu, L. di Cioccio, 3D Integration: advantages, enabling technologies and applications, in IEEE International Conference on IC Design and Technology (ICICDT), Grenoble, France, pp. 106–109 (2010)

    Google Scholar 

  34. S.J. Koester et al., Wafer level 3D integration technology. IBM J. Res. Technol. IBM Res. Dev. 52(6), 585–597 (2008)

    Google Scholar 

  35. D.E. Goldberg et al., Genetic Algorithms in Search, Optimization, and Machine Learning (Addison-Wesley, Reading, 1989)

    Google Scholar 

  36. A. Harter et al., Three-Dimensional Integrated Circuit Layout (Cambridge University Press, Cambridge, 1991)

    Google Scholar 

  37. C. Ryu et al., High frequency electrical circuit model of chip-to-chip vertical via interconnection for 3-D chip stacking package, in Proceedings of IEEE Topical Meeting Electrical Performance of Electronic Packaging, pp. 151–154, Oct 2005

    Google Scholar 

  38. D.M. Jang et al., Development and evaluation of 3-D SiP with Vertically Interconnected Through Silicon Vias (TSV), in Proceedings of the IEEE International Electronic Components Technology Conference, pp. 847–850, June 2007

    Google Scholar 

  39. V.F. Pavlidis, E.G. Friedman, Interconnect delay minimization through interlayer via placement in 3-D ICs, in Proceedings of the ACM Great Lakes Symposium on VLSI, pp. 20–25, Apr 2005

    Google Scholar 

  40. S. Tayu, S. Ueno, On the complexity of three-dimensional channel routing, in Proceedings of the IEEE International Symposium on Circuits Systems, pp. 3399–3402, May 2007

    Google Scholar 

  41. C. Addo-Quaye, Thermal-aware mapping and placement for 3-D NoC designs, in Proceedings of the IEEE International SOC Conference, pp. 25–28, Sep 2005

    Google Scholar 

  42. D. Hyun Kim, S. Mukhopadhyay, S. Kyu Lim, Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs, in ACM/IEEE International Workshop on System Level Interconnect Prediction (2009)

    Google Scholar 

  43. J.U. Knickerbocker et al., Three-dimensional silicon integration. IBM J. Res. Dev. 52(6) (2008)

    Google Scholar 

  44. T.-Y. Chiang, S.J. Souri, C.O. Chui, K.C. Saraswat, Thermal analysis of heterogeneous 3D-ICs with various integration scenarios, in Proceedings of IEEE International Electron Devices Meeting, pp. 681–684, Dec 2001

    Google Scholar 

  45. C.C. Liu, J. Zhang, A.K. Datta, S. Tiwari, Heating effects of clock drivers in bulk, SOI, and 3D CMOS. IEEE Trans. Elect. Device Lett. 23(12), 716–728 (2002)

    Article  Google Scholar 

  46. G. Digele, S. Lindenkreuz, E. Kasper, Fully coupled dynamic electro-thermal simulation, IEEE Trans. Very Large Scale (VLSI) Syst. 5, 250–257 (1997)

    Google Scholar 

  47. Z. Tan, M. Furmanczyk, M. Turowski, A. Przekwas, CFD-micromesh: a fast geometrical modeling and mesh generation tool for 3D microsystem simulations, in Proceedings of the International Conference on Modeling Simulation Microsystems, pp. 712–715, March 2000

    Google Scholar 

  48. P. Wilkerson, M. Furmanczyk, M. Turowski, Compact thermal model analysis for 3-D integrated circuits, in Proceedings of the International Conference on Mixed Design Integration of Circuits Systems, pp. 277–282, June 2004

    Google Scholar 

  49. M.B. Kleiner, S.A. Kahn, P. Ramn, W. Weber, Thermal analysis of vertically integrated circuits, in Proceedings of IEEE International Electron Devices Meeting, pp. 487–490, Dec 1995

    Google Scholar 

  50. T. Zhang, Y. Zhang, S. Sapatnekar, Temperature-aware routing in 3D-ICs, in Proceedings of the IEEE Asia South Pacific Design Automation Conference, pp. 309–314, Jan 2006

    Google Scholar 

  51. X. Zhao, D. Lewis, H.H.S. Lee, S. Kyu Lim, Pre-bond testable low-power clock tree design for 3D stacked ICs, in IEEE International Conference on Computer-Aided Design (2009)

    Google Scholar 

  52. J. Yang, K. Athikulwongse, Y.J. Lee, S. Kyu Lim, D. Pan, TSV stress aware timing analysis with applications to 3D-IC layout optimization, in ACM Design Automation Conference (2010)

    Google Scholar 

  53. R.H.J.M. Otten et al., Automatic floorplan design, in Proceedings of IEEE/ACM Design Automation Conference, pp. 261–267, June 1982

    Google Scholar 

  54. X. Hong et al., Corner block list: an effective and efficient topological representation of non-slicing floorplan, in Proceedings IEEE/ACM International Conference on Computer-Aided Design, pp. 8–11, Nov 2000

    Google Scholar 

  55. E.F.Y. Yong, C.C.N. Chu, C.S. Zion, Twin binary sequences: a non-redundant representation for general non-slicing floorplan. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22, 457–469 (2003)

    Article  Google Scholar 

  56. H. Yamazaki, K. Sakanushi, S. Nakatake, Y. Kajitani, The 3D-packing by meta data structure and packing heuristics. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. E83-A(4), 639–645 (2000)

    Google Scholar 

  57. Y. Deng, W.P. Maly, Interconnect characteristics of 2.5-D system integration scheme, in Proceedings of the IEEE International Symposium Physical Design, pp. 341–345, Apr 2001

    Google Scholar 

  58. L. Cheng, L. Deng, D.F. Wong, Floorplanning for 3-D VLSI design, in Proceedings of the IEEE International Asia South Pacific Design Automation Conference, pp. 405–411, Jan 2005

    Google Scholar 

  59. Z. Li et al., Hierarchical 3D floorplanning algorithm for wire length optimization. IEEE Trans. Circuits Syst. I Regul. Pap. 53(12), 2637–2646 (2006)

    Article  MathSciNet  Google Scholar 

  60. P. Zhou, Y. Ma, Z. Li, R. Dick, L. Shang, H. Zhou, X. Hong, Q. Zhou, 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits, in Proceedings of the ICCAD, pp. 590–597 (2007)

    Google Scholar 

  61. J. Cong, J. Wie, Y. Zhang, A thermal-driven floorplanning algorithm for 3D-ICs, in Proceedings of ICCAD, pp. 306–313 (2004)

    Google Scholar 

  62. L. Cheng, L. Deng, M.D.F. Wong, Floorplanning for 3D-VLSI design, in IEEE International Asia South Pacific Design Automation Conference (ASPDAC), pp. 405–411 (2005)

    Google Scholar 

  63. M.W. Newman et al., Fabrication and electrical characterization of 3D vertical interconnects, in Proceedings of the IEEE International Electronic Components Technology Conference, pp. 394–398, June 2006

    Google Scholar 

  64. W.-C. Lo et al., An innovative chip-to-wafer and wafer-to-wafer stacking, in Proceedings of the IEEE International Electronic Components Technology Conference, pp. 409–414, June 2006

    Google Scholar 

  65. B. Goplen, S. Sapatnekar, Placement of thermal vias in 3D-ICs using various thermal objectives. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25, 692–709 (2006)

    Article  Google Scholar 

  66. M. Ohmura et al., An initial placement algorithm for 3D VLSI, in Proceedings of IEEE International Symposium on Circuits Systems, vol. IV, pp. 195–198, May 1998

    Google Scholar 

  67. T. Tanprasert et al., An analytical 3D placement that preserves routing space, in Proceedings of the IEEE International Symposium on Circuits Systems, vol. III, pp. 69–72, May 2000

    Google Scholar 

  68. Y. Deng, W.P. Maly, Interconnect characteristics of 2.5D system integration scheme, in Proceedings of the ACM International Symposium on Physical Design, pp. 171–175, Apr 2001

    Google Scholar 

  69. I. Kaya, M. Olbrich, E. Barke, 3D Placement considering vertical interconnects, in Proceedings of the IEEE International SOC Conference, pp. 257–258, Sep 2003

    Google Scholar 

  70. S.T. Obenaus, T.H. Szymanski, Gravity: fast placement for 3-D VLSI. ACM Trans. Des. Autom. Electron. Syst. 8(3), 298–315 (2003)

    Article  Google Scholar 

  71. W.R. Davis et al., Demystifying 3D-ICs: the pros and cons of going vertical. IEEE Des. Test Comput. 22 (2005)

    Google Scholar 

  72. H. Eisenmann, F.M. Johannnes, Generic global placement and floorplanning, in Proceedings of IEEE/ACM Design Automation Conference, pp. 269–274, June 1998

    Google Scholar 

  73. B. Goplen, S. Sapatnekar, Efficient thermal placement of standard cells in 3D-ICs using a force directed approach, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 86–89, Nov 2003

    Google Scholar 

  74. MCNC Benchmarks, http://er.cs.ucla.edu/benchmarks/ibm-place

  75. IBM-PLACE Benchmarks, http://www.cbl.ncsu.edu/pub/Benchmark_dirs/LayoutSynth92

  76. B. Black et al., Die stacking (3D) microarchitecture, Proceedings of IEEE/ACM International Symponsium on Micro-architecture, pp. 469–479, Dec 2006

    Google Scholar 

  77. B. Goplen, S. Sapatnekar, Thermal via placement in 3D-ICs, in ISPD, pp. 167–174 (2005)

    Google Scholar 

  78. Z. Li et al., Efficient thermal via planning approach and its application in 3D floorplanning. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26, 645–658 (2007)

    Article  Google Scholar 

  79. R.J. Enbody, G. Lynn, K.H. Tan, Routing the 3D chip, in Proceedings of IEEE/ACM Design Automation Conference, pp. 132–137, June 1991

    Google Scholar 

  80. C.C. Tong, C. Wu, Routing in a three-dimensional chip. IEEE Trans. Comput. 44(1), 106–117 (1995)

    Google Scholar 

  81. J. Minz, S.K. Lim, Block-level 3D global routing with an application to 3D packaging. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25, 2248–2257 (2006)

    Article  Google Scholar 

  82. A. Hashimoto, J. Stevens, Wire routing by optimizing channel assignment within large apertures, in Proceedings of IEEE/ACM Design Automation Conference, pp. 155–169, June 1971

    Google Scholar 

  83. T. Ohtsuki, E. HorbstT, Advances in CAD for VLSI: Logic Design and Simulation (The University of Michigan, North-Holland, 1986). ISBN: 444878920, 9780444878922

    Google Scholar 

  84. J. Cong, M. Xie, Y. Zhang, An enhanced multilevel routing system, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 51–58, Nov 2002

    Google Scholar 

  85. J. Cong, Y. Zhang, Thermal driven multilevel routing for 3D-ICs, in Proceedings of the IEEE Asia and South Pacific Design Automation Conference, pp. 121–126, June 2005

    Google Scholar 

  86. J. Cong, Y. Zhang, Thermal via planning for 3D-ICs, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 744–751, Nov 2005

    Google Scholar 

  87. D. Milojevic, R. Radojcic, R. Carpenter, P. Marchal, Pathfinding: a design methodology for fast exploration and optimisation of 3D-stacked integrated circuits, in International Symposium on System-on-Chip, 2009. SOC 2009, pp. 118–123 (2009)

    Google Scholar 

  88. M. Hogan, D. Petranovic, Robust verification of 3D-ICs: Pros, Cons and Recommendations, in IEEE International Conference on 3D System Integration, 2009. 3DIC, pp. 1–6, 28–30 Sept 2009

    Google Scholar 

  89. J.H. Wu, Through-substrate Interconnects for 3-D Integration and RF systems. Ph.D. dissertation, MIT, Cambridge, MA, Oct 2006

    Google Scholar 

  90. I. Savidis, E.G. Friedman, Electrical modeling and characterization of 3-D vias, in Proceedings of the IEEE International Symposium on Circuits Systems, pp. 784–787, May 2008

    Google Scholar 

  91. I. Savidis, E.G. Friedman, Closed-form expressions of 3-D via resistance, inductance,and capacitance. IEEE Trans. Electron Devices 56(9), 1873–1881 (2009)

    Google Scholar 

  92. D. Hyun Kim, K. Athikulwongse, S. Kyu Lim, A study of through-silicon-via impact on the 3D stacked IC layout, in IEEE International Conference on Computer-Aided Design (2009)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Vinod Pangracious .

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Pangracious, V., Marrakchi, Z., Mehrez, H. (2015). Three-Dimensional Integration: A More Than Moore Technology. In: Three-Dimensional Design Methodologies for Tree-based FPGA Architecture. Lecture Notes in Electrical Engineering, vol 350. Springer, Cham. https://doi.org/10.1007/978-3-319-19174-4_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-19174-4_2

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-19173-7

  • Online ISBN: 978-3-319-19174-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics