Skip to main content

Part of the book series: Analog Circuits and Signal Processing ((ACSP))

Abstract

In order to design circuits operating at ultra-low supply voltages, an understanding about the sub-threshold behavior of CMOS transistors must first be obtained. Therefore, this chapter discusses the fundamentals of sub-threshold operation, by looking at the general principles of transistor theory and by briefly giving some adequate background of the device physics. The different operating regions of a CMOS transistor are examined, as well as the definition of the threshold voltage and the parameters by which it is influenced. This chapter also provides an overview of the different challenges which are introduced by operating a circuit in the sub-threshold or weak inversion region. Insight in these circuit-level challenges is essential to efficiently and successfully design ultra-low-voltage systems. Subsequently, the impact of CMOS technology scaling on circuits operating in the ultra-low-voltage region is studied. This chapter aims to provide an answer to the benefits and disadvantages of scaling on such implementations. First, an equation to determine the minimum feasible supply voltage for digital circuits is derived. Out of this equation, a theoretical minimum as well as a practical minimum supply for a specific technology can be calculated. Second, scaling analysis focuses on the two CMOS technologies at hand of this book. Furthermore, the (in)accuracy of weak inversion transistor models is also explored. To conclude, the chapter explains what the difference is between the various transistor types offered by modern CMOS technologies and which type of transistors is used throughout the prototypes presented in this work.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    The term short-channel effect in literature is often used for various, different phenomena that occur in short-channel devices or sometimes it is used as a general term to comprise all behavior different from long-channel devices. However, in this text the term will be restricted to the sensitivity of the threshold voltage to the channel length (occasionally also called V T roll-off).

References

  1. Bol D, Ambroise R, Flandre D, Legat JD (2008) Analysis and minimization of practical energy in 45nm subthreshold logic circuits. In: Proceedings of the IEEE international conference on computer design (ICCD), pp 294–300. DOI: 10.1109/ICCD.2008.4751876

  2. Bol D, Ambroise R, Flandre D, Legat JD (2009) Interests and limitations of technology scaling for subthreshold logic. IEEE Trans Very Large Scale Integ (VLSI) Syst 17(10):1508–1519. DOI: 10.1109/TVLSI.2008.2005413

    Article  Google Scholar 

  3. Bol D, Flandre D, Legat JD (2009) Technology flavor selection and adaptive techniques for timing-constrained 45 nm subthreshold circuits. In: Proceedings of the ACM/IEEE international symposium on low power electronics and design (ISLPED), pp 21–26

    Google Scholar 

  4. Bol D, Hocquet C, Flandre D, Legat JD (2010) The detrimental impact of negative celsius temperature on ultra-low-voltage CMOS logic. In: Proceedings of the IEEE European solid-state circuits conference (ESSCIRC), pp 522–525. DOI: 10.1109/ESSCIRC.2010.5619758

  5. Bol D, De Vos J, Hocquet C, Botman F, Durvaux F, Boyd S, Flandre D, Legat JD (2013) Sleepwalker: A 25-MHz 0.4-V sub-mm2 7-μW/MHz microcontroller in 65-nm LP/GP CMOS for low-carbon wireless sensor nodes. IEEE J Solid State Circuits 48(1):20–32. DOI: 10.1109/JSSC.2012.2218067

    Article  Google Scholar 

  6. Bryant A, Brown J, Cottrell P, Ketchen M, Ellis-Monaghan J, Nowak E (2001) Low-power CMOS at Vdd = 4kT/q. In: Proceedings of the IEEE device research conference (DRC), pp 22–23. DOI: 10.1109/DRC.2001.937856

  7. Calhoun B, Chandrakasan A (2006) Ultra-dynamic voltage scaling (UDVS) using sub-threshold operation and local voltage dithering. IEEE J Solid State Circuits 41(1):238–245. DOI: 10.1109/JSSC.2005.859886

    Article  Google Scholar 

  8. Calhoun B, Khanna S, Mann R, Wang J (2009) Sub-threshold circuit design with shrinking CMOS devices. In: Proceedings of the IEEE international symposium on circuits and systems (ISCAS), pp 2541–2544. DOI: 10.1109/ISCAS.2009.5118319

  9. Cheng Y, Chan M, Hui K, Jeng MC, Liu Z, Huang J, Chen K, Chen J, Tu R, Ko PK, Hu C (1996) BSIM3v3 manual. Department of Electrical Engineering and Computer Sciences, University of California, Berkeley

    Google Scholar 

  10. Hanson S, Seok M, Sylvester D, Blaauw D (2008) Nanometer device scaling in subthreshold logic and SRAM. IEEE Trans Electron Devices 55(1):175–185. DOI: 10.1109/TED.2007.911033

    Article  Google Scholar 

  11. Hwang ME (2011) Supply-voltage scaling close to the fundamental limit under process variations in nanometer technologies. IEEE Trans Electron Devices 58(8):2808–2813. DOI: 10.1109/TED.2011.2151257

    Article  Google Scholar 

  12. Jeon D, Seok M, Chakrabarti C, Blaauw D, Sylvester D (2012) A super-pipelined energy efficient subthreshold 240 MS/s FFT core in 65 nm CMOS. IEEE J Solid State Circuits 47(1):23–34. DOI: 10.1109/JSSC.2011.2169311

    Article  Google Scholar 

  13. Kao J, Miyazaki M, Chandrakasan A (2002) A 175-mV multiply-accumulate unit using an adaptive supply voltage and body bias architecture. IEEE J Solid State Circuits 37(11):1545–1554. DOI: 10.1109/JSSC.2002.803957

    Article  Google Scholar 

  14. Kaul H, Anders M, Mathew S, Hsu S, Agarwal A, Krishnamurthy R, Borkar S (2008) A 320mV 56μW 411GOPS/Watt ultra-low voltage motion estimation accelerator in 65 nm CMOS. In: Proceedings of the IEEE international solid-state circuits conference (ISSCC), pp 316–317. DOI: 10.1109/ISSCC.2008.4523184

  15. Kim C, Soeleman H, Roy K (2003) Ultra-low-power DLMS adaptive filter for hearing aid applications. IEEE Trans Very Large Scale Integr VLSI Syst 11(6):1058–1067. DOI: 10.1109/TVLSI.2003.819573

    Article  Google Scholar 

  16. Kim JJ, Roy K (2004) Double gate-MOSFET subthreshold circuit for ultralow power applications. IEEE Trans Electron Devices 51(9):1468–1474. DOI: 10.1109/TED.2004.833965

    Article  Google Scholar 

  17. Konijnenburg M, Cho Y, Ashouei M, Gemmeke T, Kim C, Hulzink J, Stuyt J, Jung M, Huisken J, Ryu S, Kim J, de Groot H (2013) Reliable and energy-efficient 1MHz 0.4V dynamically reconfigurable SoC for ExG applications in 40nm LP CMOS. In: Proceedings of the IEEE international solid-state circuits conference (ISSCC), pp 430–431. DOI: 10.1109/ISSCC.2013.6487801

  18. Kumar R, Kursun V (2007) Temperature-adaptive energy reduction for ultra-low power-supply-voltage subthreshold logic circuits. In: Proceedings of the IEEE international conference on electronics, circuits and systems (ICECS), pp 1280–1283. DOI: 10.1109/ICECS.2007.4511231

  19. Kwong J, Chandrakasan A (2006) Variation-driven device sizing for minimum energy sub-threshold circuits. In: Proceedings of the ACM/IEEE international symposium on low power electronics and design (ISLPED), pp 8–13. DOI: 10.1109/LPE.2006.4271799

  20. Lutkemeier S, Jungeblut T, Berge H, Aunet S, Porrmann M, Ruckert U (2013) A 65nm 32b subthreshold processor with 9T multi-Vt SRAM and adaptive supply voltage control. IEEE J Solid State Circuits 48(1):8–19. DOI: 10.1109/JSSC.2012.2220671

    Article  Google Scholar 

  21. Narendra S, Chandrakasan A (2006) Leakage in nanometer CMOS technologies. Springer, Heidelberg

    Google Scholar 

  22. Niiyama T, Piao Z, Ishida K, Murakata M, Takamiya M, Sakurai T (2008) Increasing minimum operating voltage (VDDmin) with number of CMOS logic gates and experimental verification with up to 1Mega-stage ring oscillators. In: Proceedings of the ACM/IEEE international symposium on low power electronics and design (ISLPED), pp 117–122. DOI: 10.1145/1393921.1393952

  23. Paul B, Raychowdhury A, Roy K (2005) Device optimization for digital subthreshold logic operation. IEEE Trans Electron Devices 52(2):237–247. DOI: 10.1109/TED.2004.842538

    Article  Google Scholar 

  24. Pelgrom M, Duinmaijer ACJ, Welbers A (1989) Matching properties of MOS transistors. IEEE J Solid State Circuits 24(5):1433–1439. DOI: 10.1109/JSSC.1989.572629

    Article  Google Scholar 

  25. Pu Y, Pineda de Gyvez J, Corporaal H, Ha Y (2010) An ultra-low-energy multi-standard JPEG co-processor in 65 nm CMOS with sub/near threshold supply voltage. IEEE J Solid State Circuits 45(3):668–680. DOI: 10.1109/JSSC.2009.2039684

    Article  Google Scholar 

  26. Rabaey J, Chandrakasan A, Nikolic B (2003) Digital integrated circuits: a design perspective, 2nd edn. Prentice Hall, Upper Saddle River

    Google Scholar 

  27. Razavi B (2001) Design of analog CMOS integrated circuits, 2nd edn. McGraw-Hill, Boston

    Google Scholar 

  28. Reynders N, Dehaene W (2014) A 210mV 5MHz variation-resilient near-threshold JPEG encoder in 40nm CMOS. In: Proceedings of the IEEE international solid-state circuits conference (ISSCC), pp 456–457

    Google Scholar 

  29. Reynders N, Dehaene W (2015) On the effect of technology scaling on variation-resilient sub-threshold circuits. Elsevier Solid State Electron 103:19–29

    Article  Google Scholar 

  30. Roy K, Mukhopadhyay S, Mahmoodi-Meimand H (2003) Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits. Proc IEEE 91(2):305–327. DOI: 10.1109/JPROC.2002.808156

    Article  Google Scholar 

  31. Sansen W (2006) Analog design essentials. Springer, New York

    Google Scholar 

  32. Swanson R, Meindl J (1972) Ion-implanted complementary MOS transistors in low-voltage circuits. IEEE J Solid State Circuits 7(2):146–153.DOI: 10.1109/JSSC.1972.1050260

    Article  Google Scholar 

  33. Tajalli A, Leblebici Y (2011) Design trade-offs in ultra-low-power digital nanoscale CMOS. IEEE Trans Circuits Syst Regul Pap 58(9):2189–2200. DOI: 10.1109/TCSI.2011.2112595

    Article  MathSciNet  Google Scholar 

  34. Tsividis Y, McAndrew C (2011) Operation and modeling of the MOS transistor, 3rd edn. Oxford University Press, Oxford

    Google Scholar 

  35. Vitale S, Wyatt P, Checka N, Kedzierski J, Keast C (2010) FDSOI process technology for subthreshold-operation ultralow-power electronics. Proc IEEE 98(2):333–342. DOI: 10.1109/JPROC.2009.2034476

    Article  Google Scholar 

  36. Wang A, Chandrakasan A (2005) A 180-mV subthreshold FFT processor using a minimum energy design methodology. IEEE J Solid State Circuits 40(1):310–319. DOI: 10.1109/JSSC.2004.837945

    Article  Google Scholar 

  37. Wang A, Calhoun B, Chandrakasan A (2006) Sub-threshold design for ultra low-power systems. Springer, New York

    Google Scholar 

  38. Weste N, Harris D (2011) CMOS VLSI design: a circuits and systems perspective, 4th edn. Addison-Wesley, New York

    Google Scholar 

  39. Zhao W, Cao Y (2006) New generation of predictive technology model for sub-45nm design exploration. In: Proceedings of the IEEE international symposium on quality electronic design (ISQED), pp 585–590. DOI: 10.1109/ISQED.2006.91

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Reynders, N., Dehaene, W. (2015). Sub-Threshold Operation: Theory and Challenges. In: Ultra-Low-Voltage Design of Energy-Efficient Digital Circuits. Analog Circuits and Signal Processing. Springer, Cham. https://doi.org/10.1007/978-3-319-16136-5_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-16136-5_2

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-16135-8

  • Online ISBN: 978-3-319-16136-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics