Skip to main content

Circuit Reliability: Hot-Carrier Stress of MOS Transistors in Different Fields of Application

  • Chapter
  • First Online:
Hot Carrier Degradation in Semiconductor Devices

Abstract

This work classifies hot-carrier stress (HCS) and negative- & positive-bias temperature instability (N/PBTI) in the larger context of circuit and product aging. The area of conflict regarding the importance of HCS and N/PBTI will be evaluated. Different fields of applications will be discussed. Some typical examples will illuminate in each case if one damage mechanism dominates the degradation. The root cause for the occurring proportion of HCS and N/PTBI will be explained. Specific characteristics of applications, circuits, and operation conditions leading to an outbalance of HCS or N/PBTI will be examined. Finally, this chapter will evaluate if a general trend for a dominating MOSFET degradation mechanism is observable.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 139.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. E. Takeda, N. Suzuki, An empirical model for device degradation due to hot-carrier injection. Electron Device Lett. 4(4), 111–113 (1983)

    Article  Google Scholar 

  2. C. Hu et al., Hot-electron induced MOSFET degradation-model, monitor, improvement. IEEE Trans. Electron Devices ED-32, 375–385 (1985). IEEE Journal Solid-State Circuits, SC-20, 295–305 (1985)

    Google Scholar 

  3. M. Brox et al., A model for the time- and bias-dependence of p-MOSFET degradation. IEEE Trans. Electron Devices 41(7), 1184–1196 (1994)

    Article  Google Scholar 

  4. S.E. Rauch et al., High-V GS PFET DC hot-carrier mechanism and its relation to AC degradation. IEEE Transactions on Device and Materials Reliability IEEE Trans. Device Mater. Reliab. 10(1), 40–46 (2010)

    Article  Google Scholar 

  5. K.G. Anil et al., Electron–electron interaction signature peak in the substrate current versus gate voltage characteristics of n-channel silicon MOSFETs. IEEE Trans. Electron Devices 49(7), 1283–1288 (2002)

    Article  Google Scholar 

  6. B. Fischer et al., Bias and temperature dependence of homogeneous hot-electron injection from silicon into silicon dioxide at low voltages. IEEE Trans. Electron Devices 44(2), 288–296 (1997)

    Article  Google Scholar 

  7. C. Guerin, V. Huard, A. Bravaix, General framework about defect creation at the Si/SiO2 interface. J. Appl. Phys. 105(11), 114513-1–114513-12 (2009)

    Article  Google Scholar 

  8. C. Schlünder et al., Trapping mechanisms in negative bias temperature stressed p-MOSFETs. Microelectron. Reliab. 39, 821–826 (1999)

    Article  Google Scholar 

  9. H. Reisinger, O. Blank, W. Heinrigs, A. Muhlhoff, W. Gustin, C. Schlünder, Analysis of NBTI degradation- and recovery-behavior based on ultra-fast VT-measurements, in Proceedings International Reliability Physics Symposium (IRPS) (2006), pp.448–453

    Google Scholar 

  10. T. Grasser, et al., The time dependent defect spectroscopy (TDDS) for the characterization of the bias temperature instability, in Proceedings International Reliability Physics Symposium (IRPS) (2010), pp.16–25

    Google Scholar 

  11. D. Heh, C.D. Young, G. Bersuker, Experimental evidence of the fast and slow charge trapping/detrapping processes in high-K dielectrics subjected to PBTI stress. IEEE Electron Device Lett. 29(2), 180–182 (2008)

    Article  Google Scholar 

  12. J. Shimokawa, M. Sato, C. Suzuki, M. Nakamura, Y. Ohji, Theoretical approach and precise description of PBTI in high-K gate dielectrics based on electron trap in pre-existing and stress-induced defects, in Proceedings IEEE International Reliability Physics Symposium (IRPS) (2009), pp.973–976

    Google Scholar 

  13. K. Hofmann, et al., Highly accurate product-level aging monitoring in 40nm CMOS, in Symposium on VLSI Technology Digest of Technical Papers (VLSI), June 15–18, Honolulu, HI (2010), pp.27–28

    Google Scholar 

  14. J. Keane et al., An all-in-one silicon odometer for separately monitoring HCI, BTI, and TDDB. IEEE J. Solid State Circuits 45(4), 817–829 (2010)

    Article  MathSciNet  Google Scholar 

  15. D. Lorenz, G. Georgakos, U. Schlichtmann, Aging analysis of circuit timing considering NBTI and HCI, in Proceedings IEEE International On-Line Testing Symposium (IOLTS) (2009), pp. 3–8

    Google Scholar 

  16. K.K. Kim, On-chip aging sensor circuits for reliable nanometer MOSFET digital circuits. IEEE Trans. Circuits Syst. 57(10), 798–802 (2010)

    Article  Google Scholar 

  17. R. Thewes, K. Goser, W. Weber, Characterization and model of the hot-carrier-induced offset voltage of analog CMOS differential stages, in Technical Digest, Electron Device Meeting (IEDM) (1994), pp.303–306

    Google Scholar 

  18. Wikipedia, “Digital Circuits,” www.wikipedia.com, May (2014)

  19. F.S. Lai, Y.F. Lin, A. Weng, K. Hsueh, F.L. Hsueh, Digitally-assisted analog designs for submicron CMOS technology, in International Symposium on VLSI Design Automation and Test (VLSI-DAT) (2010), pp.49–52

    Google Scholar 

  20. B. Murmann, B. Boser, Digitally assisted analog circuits. Queue – DSPs 2(1), 64 (2004)

    Article  Google Scholar 

  21. V. Reddy, et al., Impact of negative bias temperature instability on digital circuit reliability, in Proceedings International Reliability Physics Symposium (IRPS) (2002), pp.248–254

    Google Scholar 

  22. E. Seevinck, F. List, J. Lohstroh, Static noise margin analysis of MOS SRAM cells. IEEE J. Solid State Circuits 22(5), 525–536 (1987)

    Article  Google Scholar 

  23. L. Chang, D.M. Fried, J. Hergenrother, et al., Stable SRAM cell design for the 32nm node and beyond, in Digest of Technical Papers, Symposium on VLSI Technology (VLSI) (2005), pp.128–129

    Google Scholar 

  24. G. LaRosa, W.L. Ng, S. Rauch, R. Wong, J. Sudijono, Impact of NBTI induced statistical variation to SRAM cell stability, in IEEE International Reliability Physics Symposium (IRPS), Proceedings 26–30 March (2006), pp.274–282

    Google Scholar 

  25. A. Haggag, M. Moosa, N. Liu, et al., Realistic projections of product fails from NBTI and TDDB, in IEEE International Reliability Physics Symposium (IRPS) Proceedings (2006), pp.541–544

    Google Scholar 

  26. T. Fischer, E. Amirante, K. Hofmann, M. Ostermayr, P. Huber, D. Schmitt-Landsiedel, A 65nm test structure for the analysis of NBTI induced statistical variation in SRAM transistors, in Proceedings European Solid-State Device Research Conference (ESSDERC) (2008), pp.51–54

    Google Scholar 

  27. S. Drapatz, T. Fischer, K. Hofmann, E. Amirante, P. Huber, M. Ostermayr, G. Georgakos, D. Schmitt-Landsiedel, Fast stability analysis of large-scale SRAM arrays and the impact of NBTI degradation, in Proceedings of European Solid State Device Research Conference (ESSDERC) (2009), pp.93–96

    Google Scholar 

  28. C. Schlünder, S. Aresu, G. Georgakos, W. Kanert, H. Reisinger, K. Hofmann, W. Gustin, HCI vs. BTI?—Neither one’s out, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2012), pp.2F. 4.1–2F. 4.6

    Google Scholar 

  29. G.A. Rott, H. Nielen, H. Reisinger, W. Gustin, S. Tyaginov, T. Grasser, Drift compensating effect during hot-carrier degradation of 130nm technology dual gate oxide P-channel transistors, in Final Report IEEE Integrated Reliability Workshop (IIRW) (2013), pp.73–77

    Google Scholar 

  30. M. Clinton, Variation-tolerant SRAM design techniques, Circuits Short Course Program, VLSI (2007)

    Google Scholar 

  31. R. Thewes, R. Brederlow, C. Schlünder, P. Wieczorek, B. Ankele, A. Hesener, J. Holz, S. Kessel, W. Weber, Evaluation of MOSFET reliability in analog applications, in Proceedings of the European Solid-State Device Research Conference (ESSDERC) (2001), pp.73–80

    Google Scholar 

  32. C. Schlünder, R. Brederlow, B. Ankele, W. Gustin, K. Goser, R. Thewes, Effects of inhomogeneous negative bias temperature stress on p-channel MOSFETs of analog and RF circuits. J. Microelectron. Reliab. 45, 39–45 (2005)

    Article  Google Scholar 

  33. J.E. Chung, K.N. Quader, C.G. Sodini, P.-K. Ko, C. Hu, The effects of hot-electron degradation on analog MOSFET performance, in IEEE International Electron Devices Meeting (IEDM), Technical Digest, 9–12 December (1990), pp.553–556

    Google Scholar 

  34. C. Schlünder, R. Brederlow, B. Ankele, A. Lill, K. Goser, R. Thewes, On the degradation of P-MOSFETs in analog and RF circuits under inhomogenous negative bias temperature stress, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2003), pp.5–10

    Google Scholar 

  35. R. Thewes, R. Brederlow, C. Schlünder, P. Wieczorek, A. Hesener, B. Ankele, P. Klein, S. Kessel, W. Weber, Device reliability in analog CMOS applications, in IEEE International Electron Devices Meeting (IEDM), Technical Digest (1999), pp.81–84

    Google Scholar 

  36. V.-H. Chung, J.E. Chung, The impact of NMOSFET hot-carrier degradation on CMOS analog subcircuit performance. IEEE J. Solid State Circuits 30(6), 644–649 (1995)

    Article  Google Scholar 

  37. R. Thewes, K.F. Goser, W. Weber, Hot carrier induced degradation of CMOS current mirrors and current sources, in Technical Digest IEEE International Electron Devices Meeting (IEDM) (1996), pp.885–888

    Google Scholar 

  38. R. Thewes, M. Brox, K.F. Goser, W. Weber, Hot-carrier degradation of p-MOSFETs under analog operation. IEEE Trans. Electron Devices 44(4), 607–617 (1997)

    Article  Google Scholar 

  39. R. Thewes, R. Brederlow, C. Schlünder, et al., MOS transistor reliability under analog operation, in Proceedings of the European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF) (2000), pp.1545–1554

    Google Scholar 

  40. C. Schlünder, et al., On the PBTI degradation of pMOSFETs and its impact on IC lifetime, in International Integrated Reliability Workshop, Final Report (2011), pp.7–11

    Google Scholar 

  41. M. Tiebout, Low-power low-phase-noise differentially tuned quadrature VCO design in standard CMOS. IEEE J. Solid State Circuits 36(7), 1018–1024 (2001)

    Article  Google Scholar 

  42. R. Brederlow, W. Weber, D. Schmitt-Landsiedel, R. Thewes, Hot carrier degradation of the low frequency noise of MOS transistors under analog operating conditions, in Proceedings International Reliability Physics Symposium (IRPS) (1999), pp.239–242

    Google Scholar 

  43. S. Aresu, et al., Hot-carrier and recovery effect on p-channel lateral DMOS transistors, in Final Report IEEE Integrated Reliability Workshop (2011), pp.77–81

    Google Scholar 

  44. P. Moens et al., Hot carrier degradation phenomena in lateral and vertical DMOS transistors. IEEE TED 51, 623–628 (2010)

    Article  Google Scholar 

  45. S. Reggiani, S. Poli, M. Denison, E. Gnani, A. Gnudi, G. Baccarani, S. Pendharkar, R. Wise, Physics-based analytical model for HCS degradation in STI-LDMOS transistors. IEEE Trans. Electron Devices 58(9), 3072–3080 (2011)

    Article  Google Scholar 

  46. J.P. Campbell, P.M. Lenahan, A.T. Krishnan, S. Krishnan, NBTI: an atomic-scale defect perspective, in Proceedings Reliability Physics Symposium (2006), pp.442–447

    Google Scholar 

  47. D. Varghese et al., OFF-state degradation in drain-extended NMOS transistors: interface damage and correlation to dielectric breakdown. IEEE Trans. Electron Devices 54(10), 2669–2678 (2007)

    Article  Google Scholar 

  48. D.S. Ang, Z.Q. Teo, T.J.J. Ho, C.M. Ng, Reassessing the mechanisms of negative-bias temperature instability by repetitive stress/relaxation experiments. IEEE Trans. Device Mater. Reliab. 11(1), 19–34 (2011)

    Article  Google Scholar 

  49. M. Toledano-Luque, B. Kaczer, T. Grasser, P. Roussel, J. Franco, G. Groeseneken, Toward a streamlined projection of small device BTI lifetime distributions. J. Vac. Sci. Technol. B 31(1), 01A114.1–01A114.4 (2013)

    Google Scholar 

  50. I.C. Chen, J.Y. Choi, T.Y. Chan, T.C. Ong, C. Hu, The effect of channel hot-carrier stressing on gate oxide integrity in MOSFET, in Proceedings of the IEEE International Reliability Physics Symposium (1988), pp.1–7

    Google Scholar 

  51. L. Labate, S. Manzini, R. Roggero, Hot-hole-induced dielectric breakdown in LDMOS transistors. IEEE Trans. Electron Devices 50(2), 372–377 (2003)

    Article  Google Scholar 

  52. B. Kaczer, F. Crupi, R. Degraeve, P. Roussel, C. Ciofi, G. Groeseneken, Observation of hot-carrier-induced nFET gate-oxide breakdown in dynamically stressed CMOS circuits, in International Electron Devices Meeting (2002), pp.171–174

    Google Scholar 

  53. F. Crupi, B. Kaczer, G. Groeseneken, A. De Keersgieter, New insights into the relation between channel hot carrier degradation and oxide breakdown short channel nMOSFETs. IEEE Electron Device Lett. 24(4), 278–280 (2003)

    Article  Google Scholar 

  54. S. Rangan, et al., Universal recovery behavior of negative bias temperature instability, in IEDM (2003), p. 341

    Google Scholar 

  55. S. Ogawa et al., Interface-trap generation at ultrathin SiO2 (4–6nm)-Si interfaces during negative-bias temperature aging. J. App. Phys. 77(3), 1137 (1995)

    Article  Google Scholar 

  56. V. Huard et al., NBTI degradation: from physical mechanisms to modelling. Microelectron. Reliab. 46, 1–23 (2006)

    Article  Google Scholar 

  57. H. Reisinger et al., The statistical analysis of individual defects constituting NBTI and its implications for modeling DC- and AC-stress, in Proceedings of the IRPS (2010), pp.7–15

    Google Scholar 

  58. H. Reisinger, T. Grasser, C. Schlünder, A study of NBTI by the statistical analysis of the properties of individual defects in pMOSFETs, in Final Report. International Integrated Reliability Workshop (IRW) (2009), pp.30–35

    Google Scholar 

  59. T. Grasser et al., Time-dependent defect spectroscopy for characterization of border traps in metal-oxide-semiconductor transistors. Phys. Rev. B 82(24), 5318–5327 (2010)

    Article  Google Scholar 

  60. T. Aichinger, et al., Unambiguous identification of the NBTI recovery mechanism using ultra-fast temperature changes, in Proceedings of the IRPS (2009), pp.2–7

    Google Scholar 

  61. P. Moens, G. Van den Bosch, Characterization of total safe operating area of lateral DMOS transistors. IEEE Trans. Electron Devices. 6, 340–357 (2006)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Christian Schlünder .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Schlünder, C. (2015). Circuit Reliability: Hot-Carrier Stress of MOS Transistors in Different Fields of Application. In: Grasser, T. (eds) Hot Carrier Degradation in Semiconductor Devices. Springer, Cham. https://doi.org/10.1007/978-3-319-08994-2_15

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-08994-2_15

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-08993-5

  • Online ISBN: 978-3-319-08994-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics