Skip to main content

Introduction

  • Chapter
  • First Online:
Debugging Systems-on-Chip

Part of the book series: Embedded Systems ((EMSY))

  • 1305 Accesses

Abstract

We start this chapter in Sect. 1.2 by reviewing the trends, challenges, and methodologies used in the implementation, verification, and validation of SOCs. We describe a generic and commonly-used post-silicon debug process in Sect. 1.3, which helps debug engineers with the localization of the root cause of problems that occur post-silicon. In Sect. 1.4, we show however that this generic process has requirements that cannot easily be met post-silicon. We outline our proposed solution and the organization of this book in Sect. 1.5. In Sect. 1.6 we provide an overview of the contributions of this book with respect to the state of the art in post-silicon debug. We conclude this chapter with a summary in Sect. 1.7.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 139.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    The time-to-market is the time from the first concept of a new product to its market release.

  2. 2.

    A die is a single, unpackaged piece of silicon containing integrated circuits.

  3. 3.

    Figure 1.7 was obtained by counting the number of source code lines in the source code distributions of the Linux kernel, available at http://www.kernel.org, using the program cloc [7].

  4. 4.

    A silicon (re)spin refers to a(nother) pass through (part of) the design process and the manufacturing process to create a new silicon implementation (based on a new design).

References

  1. Miron Abramovici, Paul Bradley, Kumar Dwarakanath, Peter Levin, Gerard Memmi, and Dave Miller. A reconfigurable design-for-debug infrastructure for SoCs. In Proc. Design Automation Conference, pages 7–12, New York, NY, USA, 2006. Association for Computing Machinery, Inc.

    Google Scholar 

  2. ARM. AMBA specification. rev. 2. 0, 1999.

    Google Scholar 

  3. ARM Limited. AMBA AXI Protocol Specification, June 2003.

    Google Scholar 

  4. Daniel P. Bovet and Marco Cesati. Understanding the linux kernel. O’Reilly, November 2005.

    Google Scholar 

  5. Jack Browne. 318 engineers surveyed on top core frequencies and noc use issues, November 2012.

    Google Scholar 

  6. Theo A. C. M. Claasen. System on a chip: Changing ic design today and in the future. IEEE Micro, 23(3):20–26, May 2003.

    Google Scholar 

  7. A. Danial. Cloc - count lines of code, 2012.

    Google Scholar 

  8. Giovanni De Micheli and Luca Benini, editors. Networks on Chips: Technology and Tools. The Morgan Kaufmann Series in Systems on Silicon. Morgan Kaufmann Publishers Inc., July 2006.

    Google Scholar 

  9. Harry Foster. Wilson research group and mentor graphics, 2012 functional verification study. blog, 2013.

    Google Scholar 

  10. Jeroen Geuzebroek and Bart Vermeulen. Integration of Hardware Assertions in Systems-on-Chip. In Proc. IEEE International Test Conference, 2008.

    Google Scholar 

  11. Sandeep Kumar Goel and Bart Vermeulen. Data Invalidation Analysis for Scan-Based Debug on Multiple-Clock System Chips. Journal of Electronic Testing: Theory and Applications, 19(4):407–416, 2003.

    Article  Google Scholar 

  12. Sandeep Kumar Goel, Kuoshu Chiu, Erik Jan Marinissen, Toan Nguyen, and Steven Oostdijk. Test infrastructure design for the nexperia home platform pnx8550 system chip. In Proc. Design, Automation, and Test in Europe conference, 2004.

    Google Scholar 

  13. K. Goossens, B. Vermeulen, R. van Steeden, and M. Bennebroek.. In Proc. International Symposium on Networks on Chip, pages 95–106, 5 2007.

    Google Scholar 

  14. Kees Goossens, Bart Vermeulen, and Ashkan Beyranvand Nejad. A High-Level Debug Environment for Communication-Centric Debug. In Proc. Design, Automation, and Test in Europe conference, 2009.

    Google Scholar 

  15. Hadas Haran. What’s stopping you from improving your time to market?, April 2011.

    Google Scholar 

  16. IBM. Revenue lost by being late to market, 2006.

    Google Scholar 

  17. International Roadmap Committee. The international technology roadmap for semiconductors (itrs), 2012.

    Google Scholar 

  18. Axel Jantsch and Hannu Tenhunen, editors. Networks on Chip. Kluwer Academic Publishers, 2003.

    Google Scholar 

  19. A.C.J. Kienhuis. Design Space Exploration of Stream-based Dataflow Architectures: Methods and Tools. PhD thesis, Delft University of Technology, 1999.

    Google Scholar 

  20. Jerry Kuo. IP-STB introduction. NXP Semiconductors, 2007.

    Google Scholar 

  21. G. E. Moore. Cramming More Components onto Integrated Circuits. Electronics, 38(8):114–117, April 1965.

    Google Scholar 

  22. Amir Nahir, Avi Ziv, Rajesh Galivanche, Alan Hu, Miron Abramovici, Albert Camilleri, Bob Bentley, Harry Foster, Valeria Bertacco, and Shakti Kapoor. Bridging pre-silicon verification and post-silicon validation. In Proc. Design Automation Conference, 2010.

    Google Scholar 

  23. Sudeep Pasricha and Nikil Dutt. On-Chip Communication Architectures. Systems on Silicon. Morgan Kaufmann Publishers Inc., 2008.

    Google Scholar 

  24. G.J. Van Rootselaar and B. Vermeulen. Silicon debug: scan chains alone are not enough. In Proc. IEEE International Test Conference, pages 892–902, 1999.

    Google Scholar 

  25. B. Vermeulen and G.J. van Rootselaar. Silicon debug of a co-processor array for video applications. In Proc. High-Level Design Validation and Test Workshop, pages 47–52, 2000.

    Google Scholar 

  26. B. Vermeulen and S.K. Goel. Design for debug: catching design errors in digital chips. Design Test of Computers, IEEE, 19(3):35–43, 5 2002.

    Google Scholar 

  27. Bart Vermeulen and Kees Goossens. A Network-on-Chip Monitoring Infrastructure for Communication-centric Debug of Embedded Multi-Processor SoCs. In Proc. International Symposium on VLSI Design, Automation and Test, 2009.

    Google Scholar 

  28. Bart Vermeulen and Kees Goossens. Debugging Multi-Core Systems on Chip. In George Kornaros, editor, Multi-Core Embedded Systems, chapter 5, pages 153–198. CRC Press/Taylor & Francis Group, 2010.

    Google Scholar 

  29. Bart Vermeulen and Kees Goossens. Obtaining consistent global state dumps to interactively debug systems on chip with multiple clocks. In Proc. High-Level Design Validation and Test Workshop, 6 2010.

    Google Scholar 

  30. Bart Vermeulen and Kees Goossens. Interactive debugging of systems on chip with multiple clocks. IEEE Design and Test of Computers, 5 2011. Special issue on Transaction-Level Validation of Multicore Architectures.

    Google Scholar 

  31. B. Vermeulen, T. Waayers, and S.K. Goel. Core-based scan architecture for silicon debug. In Proc. IEEE International Test Conference, pages 638–647, 2002.

    Google Scholar 

  32. Bart Vermeulen, John Dielissen, Kees Goossens, and Cӑlin Ciordaş. Bringing Communication Networks On Chip: Test and Verification Implications. IEEE Communications Magazine, 41(9):74–81, 9 2003.

    Google Scholar 

  33. Bart Vermeulen, Zalfany Urfianto, and Sandeep Kumar Goel. Automatic Generation of Breakpoint Hardware for Silicon Debug. In Proc. Design Automation Conference, pages 514–517, San Diego, CA, USA, 6 2004.

    Google Scholar 

  34. Bart Vermeulen, Yu-Chin Hsu, and Robert Ruiz. Silicon Debug. Test and Measurement World Magazine, pages 41–45, 10 2006.

    Google Scholar 

  35. B. Vermeulen, K. Goossens, and S. Umrani. Debugging distributed-shared-memory communication at multiple granularities in networks on chip. In Proc. International Symposium on Networks on Chip, pages 3–12, 4 2008.

    Google Scholar 

  36. Wikipedia. Transistor count, 2012.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Bart Vermeulen .

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Vermeulen, B., Goossens, K. (2014). Introduction. In: Debugging Systems-on-Chip. Embedded Systems. Springer, Cham. https://doi.org/10.1007/978-3-319-06242-6_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-06242-6_1

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-06241-9

  • Online ISBN: 978-3-319-06242-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics