Skip to main content

Abstract

Pre-bond testing of individual dies prior to stacking is crucial for yield assurance in 3D-SICs [42, 43]. A complete known-good-die (KGD) test requires testing of die logic, power and clock networks, and the TSVs that will interconnect dies after bonding in the stack.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. P.-Y. Chen, W. C.-W. Wu, and D.-M. Kwai, “On-Chip Testing of Blind and Open-Sleeve TSVs for 3D IC Before Bonding”, Proc. IEEE VLSI Test Symposium, pp. 263–268, 2010.

    Google Scholar 

  2. D. Lewis and H.-H. Lee, “A Scan-Island Based Design Enabling Pre-bond Testability in Die-Stacked Microprocessors”, Proc. International Test Conference, pp. 1–8, 2007.

    Google Scholar 

  3. H. Chen, J.-Y. Shih, S.-W. Li, H.-C. Lin, M.-J. Wang, C.-N. Peng. “Electrical Tests for Three-Dimensional ICs (3DICs) with TSVs.”, International Test Conference 3D-Test Workshop, 2010.

    Google Scholar 

  4. M. Cho, C. Liu, D. Kim, S. Lim, and S. Mukhopadhyay, “Design Method and Test Structure to Characterize and Repair TSV Defect-Induced Signal Degradation in 3D System”, Proc. IEEE Conference on Computer-Aided Design, pp. 694–697, 2010.

    Google Scholar 

  5. E.J. Marinissen and Y. Zorian, “Testing 3D Chips Containing Through-Silicon Vias”, International Test Conference, E 1.1, 2009.

    Google Scholar 

  6. H.-H.S. Lee and K. Chakrabarty, “Test Challenges for 3D Integrated Circuits”, IEEE Design & Test of Computers, vol. 26, pp. 26–35, September/October 2009.

    Google Scholar 

  7. K. Lee, “Trends in Test”, Keynote talk presented at IEEE Asian Test Symposium, December 2010.

    Google Scholar 

  8. 45nm PTM LP Model. http://ptm.asu.edu/modelcard/LP/45nm_LP.pm Accessed January 2011.

  9. L.-R. Huang, S.-Y. Huang, S. Sunter, K.-H. Tsai, and W.-T. Cheng, “Oscillation-Based Prebond TSV Test”, IEEE Transactions on Computer-Aided Design, vol.32, no.9, pp. 1440–1444, 2013.

    Google Scholar 

  10. S. Deutsch and K. Chakrabarty, “Non-Invasive Pre-Bond TSV Test Using Ring Oscillators and Multiple Voltage Levels”, Proc. Design, Automation, and Test Conference in Europe, pp. 18–22, 2013.

    Google Scholar 

  11. T. Thorolfsson et al., “Design Automation for a 3DIC FFT Processor for Synthetic Aperture Radar: A Case Study”, Proc. IEEE Design Automation Conference, 2009.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Noia, B., Chakrabarty, K. (2014). Built-In Self-Test for TSVs. In: Design-for-Test and Test Optimization Techniques for TSV-based 3D Stacked ICs. Springer, Cham. https://doi.org/10.1007/978-3-319-02378-6_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-02378-6_3

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-02377-9

  • Online ISBN: 978-3-319-02378-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics