Skip to main content

Design Space Exploration of Pipelined MPSoCs

  • Chapter
  • First Online:
Pipelined Multiprocessor System-on-Chip for Multimedia
  • 774 Accesses

Abstract

A pipelined MPSoC’s stages need to be balanced for maximal utilisation of the processors to achieve high throughput with reduced area footprint and reduced power consumption. This chapter addresses the problem of optimising a pipelined MPSoC’s area footprint.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. B.K. Dwivedi, A. Kumar, M. Balakrishnan, Synthesis of application specific multiprocessor architectures for process networks, in VLSID ’04: Proceedings of the 17th International Conference on VLSI Design (Washington, DC, USA), p. 780, IEEE Computer Society, 2004

    Google Scholar 

  2. S.-R. Kuang, C.-Y. Chen, R.-Z. Liao, Partitioning and pipelined scheduling of embedded system using integer linear programming, in ICPADS ’05: Proceedings of the 11th International Conference on Parallel and Distributed Systems Workshops (ICPADS’05) (Washington, DC, USA), pp. 37–41, IEEE Computer Society, 2005

    Google Scholar 

  3. J. Wu, J. Williams, N. Bergmann, An ilp formulation for architectural synthesis and application mapping on fpga-based hybrid multi-processor soc, in International Conference on Field Programmable Logic and Applications, FPL 2008, pp. 451–454, 2008

    Google Scholar 

  4. C.-L. Sotiropoulou, S. Nikolaidis, Ilp formulation for hybrid fpga mpsocs optimizing performance, area and memory usage, in 18th IEEE International Conference on Electronics, Circuits and Systems (ICECS), pp. 748–751, 2011

    Google Scholar 

  5. Y. Jin, N. Satish, K. Ravindran, K. Keutzer, An automated exploration framework for fpga-based soft multiprocessor systems, in CODES+ISSS ’05: Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (ACM, New York), pp. 273–278, 2005

    Google Scholar 

  6. J. Cong, G. Han, W. Jiang, Synthesis of an application-specific soft multiprocessor system, in FPGA ’07: Proceedings of the 2007 ACM/SIGDA 15th International Symposium on Field Programmable Gate Arrays (ACM, New York), pp. 99–107, 2007

    Google Scholar 

  7. S.L. Shee, S. Parameswaran, Design methodology for pipelined heterogeneous multiprocessor system, in DAC ’07: Proceedings of the 44th Annual Conference on Design Automation, pp. 811–816, 2007

    Google Scholar 

  8. L. Chen, N. Boichat, T. Mitra, Customized mpsoc synthesis for task sequence, in Proceedings of the 2011 IEEE 9th Symposium on Application Specific Processors, SASP ’11 (Washington, DC, USA), pp. 16–21, IEEE Computer Society, 2011

    Google Scholar 

  9. U. Bordoloi, H.P. Huynh, T. Mitra, S. Chakraborty, Design space exploration of instruction set customizable mpsocs for multimedia applications, in International Conference on Embedded Computer Systems (SAMOS), pp. 170–177, 2010

    Google Scholar 

  10. H. Javaid, A. Ignjatovic, S. Parameswaran, Rapid design space exploration of application specific heterogeneous pipelined multiprocessor systems. Trans. Comp.-Aided Des. Integ. Cir. Sys. 29, 1777–1789 (2010)

    Article  Google Scholar 

  11. IBM, “ILOG CPLEX Optimizer”. Available at: http://www-01.ibm.com/

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Haris Javaid .

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Javaid, H., Parameswaran, S. (2014). Design Space Exploration of Pipelined MPSoCs. In: Pipelined Multiprocessor System-on-Chip for Multimedia. Springer, Cham. https://doi.org/10.1007/978-3-319-01113-4_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-01113-4_5

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-01112-7

  • Online ISBN: 978-3-319-01113-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics