Skip to main content

Performance Estimation of Pipelined MPSoCs

  • Chapter
  • First Online:
Pipelined Multiprocessor System-on-Chip for Multimedia

Abstract

This chapter focuses on analytical models and estimation methods for three performance metrics (execution time, latency and throughput) of pipelined MPSoCs to speed up their design space exploration process.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    Note that in real-time, the input data to first processor will be available at the rate equal to throughput of the pipelined MPSoC. In such a scenario, number of FIFO buffers between the first processor and critical processor will not affect the latency of the pipelined MPSoC because the input data will not be available to the first processor in advance. Hence, the second factor will not contribute to the latency of a real-time pipelined MPSoC.

  2. 2.

    Fidelity measures the correlation between the ordering of the actual and estimated values to quantify the similarity between the trends of actual and estimated values. A value close to 1 means that an analytical model has high fidelity. \(FM_{\rho }\) metric from [21] is used to compute fidelity due to its lower computational complexity.

References

  1. S.L. Shee, S. Parameswaran, Design methodology for pipelined heterogeneous multiprocessor system, in DAC ’07: Proceedings of the 44th annual conference on Design automation, San Diego, pp. 811–816 2007

    Google Scholar 

  2. I. Karkowski, H. Corporaal, Design of heterogenous multi-processor embedded systems: applying functional pipelining, in PACT ’97: Proceedings of the 1997 International Conference on Parallel Architectures and Compilation Techniques, IEEE Computer Society, San Francisco, 1997

    Google Scholar 

  3. H. Javaid, S. Parameswaran, Synthesis of heterogeneous pipelined multiprocessor systems using ilp: jpeg case study, in CODES/ISSS ’08: Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis, ACM, New York, pp. 1–6 2008

    Google Scholar 

  4. H. Javaid, S. Parameswaran, A design flow for application specific heterogeneous pipelined multiprocessor systems, in DAC ’09: Proceedings of the 46th Annual Design Automation Conference, ACM, New York, pp. 250–253 2009

    Google Scholar 

  5. Tensilica, Xtensa customizable processor. http://www.tensilica.com

  6. M. Yourst, PTLsim: a cycle accurate full system x86–64 microarchitectural simulator, in Performance Analysis of Systems and Software, ISPASS 2007. IEEE International Symposium on, pp. 23–34 Aprl 2007

    Google Scholar 

  7. ARM, RealView ARMulator ISS. http://www.arm.com

  8. R. Srinivasan, J. Cook, O. Lubeck, Performance modeling using monte carlo simulation, Comput. Archit. Lett. 5, 38–41 (2006)

    Google Scholar 

  9. H. Javaid, A. Ignjatovic, S. Parameswaran, Rapid design space exploration of application specific heterogeneous pipelined multiprocessor systems. Trans. Comp.-Aided Des. Integ. Cir. Sys. 29, 1777–1789 (2010)

    Article  Google Scholar 

  10. M.S. Haque, J. Peddersen, A. Janapsatya, S. Parameswaran, Dew: a fast level 1 cache simulation approach for embedded processors with fifo replacement policy, in DATE ’10: Proceedings of the conference on Design, automation and test in, Europe, 2010

    Google Scholar 

  11. J. Edler, M.D. Hill, Dinero iv trace-driven uniprocessor cache simulator. http://www.cs.wisc.edu/markhill/DineroIV/, 2004

  12. N. Tojo, N. Togawa, M. Yanagisawa, T. Ohtsuki, Exact and fast l1 cache simulation for embedded systems, in ASP-DAC ’09: Proceedings of the 2009 Conference on Asia and South Pacific Design Automation, IEEE Press, Piscataway, pp. 817–822 2009

    Google Scholar 

  13. L. Singleton, C. Poellabauer, K. Schwan, Monitoring of cache miss rates for accurate dynamic voltage and frequency scaling, in Proceedings of the Multimedia Computing and Networking Conference (MMCN), 2005

    Google Scholar 

  14. B.C. Lee, D.M. Brooks, Accurate and efficient regression modeling for microarchitectural performance and power prediction, in ASPLOS-XII: Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, ACM, New York, pp. 185–194 2006

    Google Scholar 

  15. P. Joseph, K. Vaswani, M. Thazhuthaveetil, Construction and use of linear regression models for processor performance analysis, in Proceedings of the High-Performance Computer Architecture, The Twelfth International Symposium on, pp. 99–108 2006

    Google Scholar 

  16. T.S. Karkhanis, J.E. Smith, A first-order superscalar processor model. SIGARCH Comput. Archit. News 32(2), 338 (2004)

    Article  Google Scholar 

  17. A. Ghamarian, M. Geilen, S. Stuijk, T. Basten, A. Moonen, M. Bekooij, B. Theelen, M. Mousavi, Throughput analysis of synchronous data flow graphs, in Proceedings of the Application of Concurrency to System Design, ACSD 2006. Sixth International Conference on, pp. 25–36 june 2006

    Google Scholar 

  18. A. Ghamarian, M. Geilen, T. Basten, S. Stuijk, Parametric throughput analysis of synchronous data flow graphs, in Proceedings of the Design, Automation and Test in Europe, DATE ’08, pp. 116–121 March 2008

    Google Scholar 

  19. Tensilica, Flix: fast relief for performance-hungry embedded applications. http://www.tensilica.com/

  20. Tensilica, XPRES generated specialized operations. http://www.tensilica.com/

  21. H. Javaid, A. Ignjatovic, S. Parameswaran, Fidelity metrics for estimation models, in Proceedings of the Computer-Aided Design (ICCAD), IEEE/ACM International Conference on, pp. 1–8 Nov 2010

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Haris Javaid .

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Javaid, H., Parameswaran, S. (2014). Performance Estimation of Pipelined MPSoCs. In: Pipelined Multiprocessor System-on-Chip for Multimedia. Springer, Cham. https://doi.org/10.1007/978-3-319-01113-4_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-01113-4_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-01112-7

  • Online ISBN: 978-3-319-01113-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics