Skip to main content

UV and E-Beam Direct Patterning of Photosensitive CSD Films

  • Chapter
  • First Online:
Chemical Solution Deposition of Functional Oxide Thin Films

Abstract

UV- and E-beam direct patterning processes using photosensitive precursor films are reviewed in this chapter. For the UV patterning process, discussed in the first part, reaction processes of precursors, such as metal alkoxides modified with β-diketones and metal complexes of carboxylic acids, with UV-irradiation are reported. The discussion of multi-component oxide film, as well as simple oxide film preparation, some applications of direct UV-patterning, and limitation of this process completes this section. The second part covers the progress made in the direct sub-10 nm electron beam patterning of metal oxides over the last 30 years, moving from physical deposition to chemical solution deposition of resists. Patterning of inorganic resists began with thermally evaporated metal halides. They were soon taken over by sputtered metal oxide films due to their excellent environmental stability. However, these inorganic materials, both halides and oxides, suffered from very steep electron dose requirement, thus rendering them useless for practical applications. This gave way to highly electron beam-sensitive chemical solution deposited stabilized metal alkoxides and metal naphthenates, with sensitively close to conventional electron beam resists such as poly(methylmethacrylate), PMMA, and calixarene. Furthermore, they show excellent line edge roughness characteristics at sub-10 nm scale, which is currently unmatched by any other electron beam resist. Recent applications of these resists such as etch mask and their suitability as gate oxides closes the chapter.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Tohge N, Shinmou K, Minami T (1994) Photolysis of organically modified gel films and its application to the fine-patterning of oxide thin films. In: Mackenzie JD (ed) Sol–gel optics III, Proc SPIE 2288, p 589

    Google Scholar 

  2. Shinmou K, Tohge N, Minami T (1994) Fine-patterning of ZrO2 thin films by the photolysis of chemically modified gel films. Jpn J Appl Phys 33:L1181

    Article  Google Scholar 

  3. Tohge N, Shinmou K, Minami T (1994) Effects of UV-irradiation on the formation of oxide thin films from chemically modified metal-alkoxides. J Sol-Gel Sci Tech 2:581

    Article  Google Scholar 

  4. Zhao G, Tohge N (1995) Fine patterning of Al2O3 thin films by the photolysis of gel films chemically modified with benzoylacetone. J Ceram Soc Jpn 103:1293 (in Japanese)

    Article  Google Scholar 

  5. Zhao G, Tohge N (1998) Preparation of photosensitive gel films and fine patterning of amorphous Al2O3-SiO2 thin films. Mater Res Bull 33:21

    Article  Google Scholar 

  6. Zhao G, Tohge N, Nishii J (1998) Fabrication and characterization of diffraction gratings using photosensitive Al2O3 gel films. Jpn J Appl Phys 37(part 1):1842

    Article  Google Scholar 

  7. Tohge N, Takama Y (1999) Direct fine-patterning of PZT thin films using photosensitive gel films derived from chemically modified metal-alkoxides. J Mater Sci Mater Electron 10:273

    Article  Google Scholar 

  8. Kawahara T, Ishida T, Tada H, Tohge N, Ito S (2002) Positive-type patterned ZnO films prepared by a chemically modified sol–gel method. J Mater Sci Lett 21:1423

    Article  Google Scholar 

  9. Kawahara T, Ishida T, Tada H, Noma N, Tohge N, Ito S (2003) Photoreaction of a ZnO gel film chemically modified with beta-diketones. J Mater Sci 38:1703

    Article  Google Scholar 

  10. Tohge N, Zhao G, Chiba F (1999) Photosensitive gel films prepared by the chemical modification and their application to surface-relief gratings. Thin Solid Films 351:85

    Article  Google Scholar 

  11. Tohge N, Ueno R, Chiba F, Kintaka K, Nishii J (2000) Characteristics of diffraction gratings fabricated by the two-beam interference method using photosensitive hybrid gel films. J Sol-Gel Sci Technol 19:119

    Article  Google Scholar 

  12. Nishii J, Kintaka K, Tohge N, Noma N, Hasegawa M, Mizutani A, Kikuta H (2002) Low-reflection microstructure formed by sol–gel process. Jpn J Appl Phys 41(part 1):5210

    Article  Google Scholar 

  13. Tohge N, Hasegawa M, Noma N, Kintaka K, Nishii J (2003) Fabrication of two-dimensional gratings using photosensitive gel films and their characterization. J Sol-Gel Sci Technol 26:903

    Article  Google Scholar 

  14. Imano T, Horiuchi T, Noma N, Ito S (2006) Preparation of new photosensitive TiO2 gel films using chemical additives including nitrogen and their patterning. J Sol-Gel Sci Technol 39:119

    Article  Google Scholar 

  15. Kowada Y, Noma N (2009) Electronic state and photosensitivity of metal alkoxides chemically modified with beta-diketones. J Solgel Sci Technol 52:166

    Article  Google Scholar 

  16. Tadanaga K, Owan T, Morinaga J, Urbanek S, Minami T (2000) Fine patterning of transparent, conductive SnO2 thin films by UV-irradiation. J Sol-Gel Sci Technol 19:791

    Article  Google Scholar 

  17. Avey AA, Hill RH (1996) Solid state photochemistry of Cu2(OH2)2(O2C(CH2)4CH3)4 in thin films: the photochemical formation of high-quality films of copper and copper(I) oxide. Demonstration of a novel lithographic technique for the patterning of copper. J Am Chem Soc 118:237

    Article  Google Scholar 

  18. Ching CLW, Hill RH (1998) Photolithographic deposition of indium oxide from metalorganic films. J Vac Sci Technol A16:897

    Article  Google Scholar 

  19. Gao M, Hill RH (1998) High efficiency photoresist-free lithography of UO3 patterns from amorphous films of uranyl complexes. J Mater Res 13:1379

    Article  Google Scholar 

  20. Law WL, Hill RH (2000) Photolithographic deposition of insulating Al2O3 films from thin amorphous films of aluminum complexes on silicon surfaces. Thin Solid Films 375:42

    Article  Google Scholar 

  21. Park HH, Yoon S, Park HH, Hill RH (2004) Electrical properties of PZT thin films by photochemical deposition. Thin Solid Films 447–448:669

    Article  Google Scholar 

  22. Park HH, Kim WS, Yang JK, Park HH, Hill RH (2004) Characterization of PLZT thin film prepared by photochemical deposition using photosensitive metal-organic precursors. Microelectron Eng 71:215

    Article  Google Scholar 

  23. Park HH, Park HH, Hill RH (2006) Direct-patterning of SnO2 thin film by photochemical metal-organic deposition. Sens Actuators A 132:429

    Article  Google Scholar 

  24. Park HH, Lee HS, Park HH, Hill RH, Hwang YT (2009) Ferroelectric properties of direct-patternable La substituted Bi4Ti3O12 thin films formed by photochemical metal-organic deposition. J Ceram Soc Jpn 117:604

    Article  Google Scholar 

  25. Kololuoma T, Karkkainen AHO, Tolonen A, Rantaral JT (2003) Lithographic patterning of benzoylacetone modified SnO2 and SnO2:Sb thin films. Thin Solid Films 440:184

    Article  Google Scholar 

  26. Yogo T, Takeichi T, Kikuta K, Hirano S (1995) Ultraviolet patterning of alkoxy-derived lithium-niobate film. J Am Ceram Soc 78:1649

    Article  Google Scholar 

  27. Kikuta K, Takagi K, Hirano S (1999) Photoreaction of titanium-based metal-organic compounds for ceramic fine patterning. J Am Ceram Soc 82:1569

    Article  Google Scholar 

  28. Kikuta K, Suzumori K, Takagi K, Hirano S (1999) Patterning of tin oxide film from photoreactive precursor solutions prepared via the addition of N-phenyldiethanolamine. J Am Ceram Soc 82:2263

    Article  Google Scholar 

  29. Martin CR, Aksay IA (2004) Submicrometer-scale patterning of ceramic thin films. J Electroceram 12:53

    Article  Google Scholar 

  30. Yasin S, Hasko DG, Ahmed H (2001) Fabrication of <5 nm width lines in poly(methylmethacrylate) resist using a water:isopropyl alcohol developer and ultrasonically-assisted development. Appl Phys Lett 78:2760–2762

    Article  Google Scholar 

  31. Broers AN, Cuomo J, Harper J, Molzen W, Laibowitz R, Promerantz M (1978) High resolution electron beam fabrication using STEM. In: Sturgess JM (ed) Proceedings of the 9th international congress on electron microscopy, vol 3, Imperial, ON, pp 343–354

    Google Scholar 

  32. Isaacson M, Muray A (1981) In situ vaporization of very low molecular weight resists using ½ nm diameter electron beams. J Vac Sci Technol 19:1117–1120

    Article  Google Scholar 

  33. Mochel ME, Humphreys CJ, Eades JA, Mochel JM, Petford AM (1983) Electron beam writing on a 20 Å scale in metal β-aluminas. Appl Phys Lett 42:392–394

    Article  Google Scholar 

  34. Devenish RW, Eaglesham DJ, Maher DM, Humphreys CJ (1989) Nanolithography using field emission and conventional thermionic electron sources. Ultramicroscopy 28:324–329

    Article  Google Scholar 

  35. Salisbury IG, Timsit RS, Berger SD, Humphreys CJ (1984) Nanometer scale electron beam lithography in inorganic materials. Appl Phys Lett 45:1289–1291

    Article  Google Scholar 

  36. Muray A, Isaacson M, Adesida I (1984) AlF3 – a new very high resolution electron beam resist. Appl Phys Lett 45:589–591

    Article  Google Scholar 

  37. Kratschmer E, Isaacson M (1986) Nanostructure fabrication in metals, insulators, and semiconductors using self-developing metal organic resist. J Vac Sci Technol B 4:361–364

    Article  Google Scholar 

  38. Kratschmer E, Isaacson M (1987) Progress in self-developing metal fluoride resists. J Vac Sci Technol B 5:369–373

    Article  Google Scholar 

  39. Scherer A, Craighead HG (1987) Barium fluoride and strontium fluoride negative electron beam resists. J Vac Sci Technol B 5:374–378

    Article  Google Scholar 

  40. Scherer A, Van de Gaag BP, Beebe ED, Lin PSD (1990) Fluoride etch masks for high-resolution pattern transfer. J Vac Sci Technol B 8:28–32

    Article  Google Scholar 

  41. Mankiewich PM, Craighead HG, Harrison TR, Dayem AH (1984) High resolution electron beam lithography on CaF2. Appl Phys Lett 44:468–469

    Article  Google Scholar 

  42. Zanetti R, Bleloch AL, Grimshaw M, Paterson JH, Jones GAC (1993) Inst Phys Conf Ser 138:67

    Google Scholar 

  43. Fujita J, Watanabe H, Ochiai Y, Manako S, Tsai JS, Matsui S (1995) Sub-10 nm lithography and development properties of inorganic resist by scanning electron beam. Appl Phys Lett 66:3065–3067

    Google Scholar 

  44. Fujita J, Watanabe H, Ochiai Y, Manako S, Tsai JS, Matsui S (1995) Sub-10 nm lithography and development properties of inorganic resist by scanning electron beams. J Vac Sci Technol B 13:2757–2761

    Article  Google Scholar 

  45. Berger SD, Salisbury IG, Milne RH, Imeson D, Humphreys CJ (1987) Electron energy-loss spectroscopy studies of nanometer-scale structures in alumina produced by intense electron-beam irradiation. Philos Mag B 55:341–358

    Article  Google Scholar 

  46. Mochel ME, Eades JA, Metzger M, Meyer JI, Mochel JM (1984) Electron beam cutting in amorphous alumina sheets. Appl Phys Lett 44:502–504

    Article  Google Scholar 

  47. Hollenbech JL, Buchanan RC (1990) Oxide thin films for nanometer scale electron beam lithography. J Mater Res 5:1058–1072

    Article  Google Scholar 

  48. Morgan CJ, Bailey SJ, Preston AR, Humphreys CJ (1991) Electron beam nanolithography of sputtered amorphous Al2O3 and the proximity effect. Inst Phys Conf Ser 119:503–506

    Google Scholar 

  49. Morgan CJ, Chen GS, Boothroyd CB, Bailey S, Humphreys CJ (1992) Ultimate limits of lithography. Phys World 5:28–32

    Google Scholar 

  50. Chen GS, Boothroyd CB, Humphreys CJ (1993) Novel fabrication method for nanometer-scale silicon dots and wires. Appl Phys Lett 62:1949–1951

    Article  Google Scholar 

  51. Saifullah MSM, Boothroyd CB, Botton GA, Humphreys CJ (1998) Electron energy loss spectroscopy of silicon nanostructures in a scanning transmission electron microscope. In: Electron microscopy 96, vol 2. Committee of European Societies of Microscopy, Brussels

    Google Scholar 

  52. Fujita J, Maruno S, Watanabe H, Ichikawa M (1996) Nanostructure fabrication using the selective thermal desorption of SiO2 induced by electron beams. Appl Phys Lett 69:638–640

    Article  Google Scholar 

  53. Turner PS, Bullough TJ, Devenish RW, Maher DM, Humphreys CJ (1990) Nanometre hole formation in MgO using electron beams. Philos Mag Lett 61:181–193

    Article  Google Scholar 

  54. Devenish RW, Bullough TJ, Turner PS, Humphreys CJ (1990) Electron-beam machining of MgO and ZnO in the STEM. Inst Phys Conf Ser 98:215–218

    Google Scholar 

  55. Berger SD, Macaulay JM, Brown LM (1987) Radiation damage in TiOx at high current density. Philos Mag Lett 56:179–185

    Article  Google Scholar 

  56. Saifullah MSM, Boothroyd CB, Botton GA, Humphreys CJ (1997) Electron beam damage in titanium dioxide films. Inst Phys Conf Ser 153:167–170

    Google Scholar 

  57. Pauza AJ, Barber Z, Campbell AM, Evetts JE, Somekh RE, Moore DF, Broers AN (1991) Direct writing of weak links in high Tc superconductors with electrons. In: Proceedings of the third international superconductive electronics conference, University of Strathclyde, Glasgow, Scotland, Meeting Makers, Glasgow

    Google Scholar 

  58. Saifullah MSM, Boothroyd CB, Botton GA, Humphreys CJ (1998) Irradiation damage of inorganic resists on a silicon substrate. In: Kirkland A, Brown PD (eds) The electron – proceedings of the international centennial symposium on the electron, IOM Communication Ltd, London

    Google Scholar 

  59. Morgan CJ, Humphreys CJ (1995) The proximity effect for electron beam lithography of aluminium oxide. Inst Phys Conf Ser 147:575–578

    Google Scholar 

  60. Hobbs LW (1987) Radiation effects in analysis by TEM. In: Hren JJ, Goldstein JI, Joy DC (eds) Introduction to analytical electron microscopy. Plenum, New York, pp 399–445

    Google Scholar 

  61. Pooley D (1966) F-centre production in alkali halides by electron–hole recombination and a subsequent [110] replacement sequence: a discussion of the electron–hole recombination. Proc Phys Soc (Lond) 87:245–256

    Article  Google Scholar 

  62. Kabler MN, Williams RT (1978) Vacancy-interstitial pair production via electron–hole recombination in halide crystals. Phys Rev B 18:1948–1960

    Article  Google Scholar 

  63. Knotek ML, Feibelman PJ (1978) Ion desorption by core-hole auger decay. Phys Rev Lett 40:964–967

    Article  Google Scholar 

  64. Knotek ML, Feibelman PJ (1979) Stability of ionically bonded surfaces in ionizing environments. Surf Sci 90:78–90

    Article  Google Scholar 

  65. Humphreys CJ, Bullough TJ, Devenish RW, Maher DM, Turner PS (1990) Electron beam nano-etching in oxides, fluorides, metals and semiconductors. Scanning Microsc Suppl 4:185–192

    Google Scholar 

  66. Kammlott GW, Sinclair WR (1974) Fe2O3 – an inorganic electron resist material. J Electrochem Soc 121:929–932

    Article  Google Scholar 

  67. Baba M, Ikeda T (1981) A new inorganic electron resist using amorphous WO3 film. Jpn J Appl Phys 20:L149–L152

    Article  Google Scholar 

  68. Carcenac F, Vieu C, Haghiri-Gosnet AM, Simon G, Mejias M, Launois H (1996) High voltage electron beam nanolithography on WO3. J Vac Sci Technol B 14:4283–4287

    Article  Google Scholar 

  69. Saifullah MSM, Namatsu H, Yamaguchi T, Yamazaki K, Kurihara K (1999) Spin-coatable aluminum oxide resists in electron beam nanolithography. Proc SPIE 3678:633–642

    Article  Google Scholar 

  70. Saifullah MSM, Namatsu H, Yamaguchi T, Yamazaki K, Kurihara K (1999) Effect of chelating agents on high resolution electron beam nanolithography of spin-coatable alumina gel films. Jpn J Appl Phys 38:7052–7058

    Article  Google Scholar 

  71. Mitchell WJ, Hu EL (1999) Selective area chemical vapor deposition of titanium oxide films: characterization of Ti(OC3H7)4 as an electron beam resist. J Vac Sci Technol B 17:1622–1626

    Article  Google Scholar 

  72. Mitchell WJ, Hu EL (1999) In situ electron-beam lithography on GaAs substrates using a metal alkoxide resist. Appl Phys Lett 74:1916–1918

    Article  Google Scholar 

  73. Mitchell WJ, Hu EL (2002) High-resolution in situ electron beam patterning using Ti(OC3H7)4 as a negative-type resist. J Vac Sci Technol B 20:596–603

    Article  Google Scholar 

  74. Yamazaki K, Saifullah MSM, Namatsu H, Kurihara K (2000) Sub-10 nm electron beam lithography with sub-10 nm overlay accuracy. Proc SPIE 3997:458–466

    Article  Google Scholar 

  75. Saifullah MSM, Subramanian KRV, Tapley E, Kang DJ, Welland ME, Butler M (2003) Sub-10 nm electron beam nanolithography using spin-coatable TiO2 resists. Nano Lett 3:1587–1591

    Article  Google Scholar 

  76. Subramanian KRV, Saifullah MSM, Tapley E, Kang DJ, Welland ME, Butler M (2004) Direct writing of ZrO2 on a sub-10 nm scale using an electron beam. Nanotechnology 15:158–162

    Article  Google Scholar 

  77. Saifullah MSM, Khan MZR, Hasko D, Leong ESP, Neo XL, Goh ETL, Anderson D, Jones GAC, Welland ME (2010) Spin-coatable HfO2 resist for optical and electron beam lithographies. J Vac Sci Technol B 28:90–95

    Article  Google Scholar 

  78. Liang LXY (2008) Synthesis and characterization of new oxide materials. Dissertation (BSc), National University of Singapore

    Google Scholar 

  79. Sim KS, Shahid M, Saifullah MSM, Subramanian KRV, Leong ESP, Sohn JI, Anderson D, Jones GAC, Welland ME, Kang DJ, unpublished work

    Google Scholar 

  80. Subramanian KRV (2006) Spin-coatable oxide resists for electron beam nanolithography, Dissertation (PhD), University of Cambridge

    Google Scholar 

  81. Chuang CM, Wu MC, Huang YC, Cheng KC, Lin CF, Chen YF, Su WF (2006) Nanolithography made from water-based spin-coatable LSMO resist. Nanotechnology 17:4399–4404

    Article  Google Scholar 

  82. Wu MC, Chuang CM, Chen YF, Su WF (2008) Fabrication and optical properties of periodical structures based on a water-developable and tunable La0.7Sr0.3MnO3 resist. J Mater Chem 18:780–785

    Article  Google Scholar 

  83. Saifullah MSM, Kang DJ, Subramanian KRV, Welland ME, Yamazaki K, Kurihara K (2004) Electron beam nanolithography of β-ketoester modified aluminium tri-sec-butoxide. J Sol-Gel Sci Technol 29:5–10

    Article  Google Scholar 

  84. Ingold CK (1953) Structure and mechanism in organic chemistry. G. Bell & Sons Ltd, London

    Google Scholar 

  85. Gero A (1954) Studies on enol titration. II. Enol contents of some ketones and esters in the presence of methanol. J Org Chem 19:1960–1970

    Article  Google Scholar 

  86. Liu BY, Ho ST (2008) Sub-100 nm nanolithography and pattern transfer on compound semiconductor using sol–gel-derived TiO2 resist. J Electrochem Soc 155:P57–P60

    Article  Google Scholar 

  87. Liu BY, Huang YY, Xu GY, Ho ST (2008) Nanolithography using spin-coatable ZrO2 resist and its application to sub-10 nm direct pattern transfer on compound semiconductors. Nanotechnology 19:155303

    Article  Google Scholar 

  88. Khan MZR, Hasko DG, Saifullah MSM, Welland ME (2008) Characterization of a sol–gel based high-k dielectric field effect transistor for cryogenic operation. J Vac Sci Technol B 26:1887–1891

    Article  Google Scholar 

  89. Khan MZR, Hasko DG, Saifullah MSM, Welland ME (2009) Trapped charge dynamics in a sol–gel based TiO2 high-k gate dielectric silicon metal-oxide-semiconductor field effect transistor. J Phys Condens Matter 21:215902

    Article  Google Scholar 

  90. Khan MZR, Hasko DG, Saifullah MSM, Welland ME (2008) Single shot measurement of the lifetime of a trapped electron in the gate dielectric of a high-k FET. Appl Phys Lett 93:193501

    Article  Google Scholar 

  91. Alexe M, Harnagea C, Hesse D, Gösele U (1999) Patterning and switching of nano-size ferroelectric memory cells. Appl Phys Lett 75:1793–1795

    Article  Google Scholar 

  92. Kakimi A, Okamura S, Yagi Y, Mori K, Tsukamoto T (1994) Fabrication of ferroelectric Bi4Ti3O12 thin films by dipping pyrolysis of metal naphthenates and micropatterns by an electron beam. Jpn J Appl Phys 33:5301–5304

    Article  Google Scholar 

  93. Mori K, Okamura S (1992) Electron-beam-induced structuring of composite oxides by means of dipping pyrolysis of metal naphthenate films. Jpn J Appl Phys 31:L1143–L1145

    Article  Google Scholar 

  94. Saifullah MSM, Subramanian KRV, Kang DJ, Anderson D, Huck WTS, Jones GAC, Welland ME (2005) Sub-10 nm high aspect ratio patterning of ZnO by an electron beam. Adv Mater 17:1757–1761

    Article  Google Scholar 

  95. Saifullah MSM, Subramanian KRV, Anderson D, Kang DJ, Huck WTS, Jones GAC, Welland ME (2006) Sub-10 nm high aspect ratio patterning of ZnO in a 500 μm main field. J Vac Sci Technol B 24:1215–1218

    Article  Google Scholar 

  96. Nedelcu M, Saifullah MSM, Hasko DG, Jang A, Anderson D, Kang DJ, Huck WTS, Jones GAC, Welland ME, Steiner U (2010) Fabrication of sub-10 nm metallic lines of low line-width roughness by hydrogen reduction of patterned metal-organic materials. Adv Funct Mater 20:2317–2323

    Article  Google Scholar 

  97. Patole SP, Patole AS, Rhen DS, Shahid M, Min H, Kang DJ, Kim TH, Yoo JB (2009) Patterned carbon nanotube growth using an electron beam sensitive direct writable catalyst. Nanotechnology 20:315302

    Article  Google Scholar 

  98. Okamura S, Yagi Y, Kakimi A, Ando S, Mori K, Tsukamoto T (1996) Crystallization of precursor micropatterns of ferroelectric Bi4Ti3O12 fabricated by electron beam scanning. Jpn J Appl Phys 35:5224–5228

    Article  Google Scholar 

  99. Kiyohara S, Takamatsu H, Motoishi T, Mori K (2004) Nanopatterning of diamond films with composite oxide mask of metal octylates in electron beam lithography. J Mater Sci Mater Electron 15:99–102

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Kiyoharu Tadanaga or Mohammad S. M. Saifullah .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer-Verlag Wien

About this chapter

Cite this chapter

Tadanaga, K., Saifullah, M.S.M. (2013). UV and E-Beam Direct Patterning of Photosensitive CSD Films. In: Schneller, T., Waser, R., Kosec, M., Payne, D. (eds) Chemical Solution Deposition of Functional Oxide Thin Films. Springer, Vienna. https://doi.org/10.1007/978-3-211-99311-8_20

Download citation

Publish with us

Policies and ethics