Skip to main content

Benchmark Circuits for Single Flux Quantum Integrated Systems

  • Chapter
  • First Online:
Single Flux Quantum Integrated Circuit Design

Abstract

Superconductive single flux quantum (SFQ) technology is one of the most promising beyond CMOS technologies for large-scale, high-performance computing systems. The operating frequency of SFQ circuits may exceed hundreds of gigahertz while dissipating several orders of magnitude lower power, including the refrigeration. Recent advances in SFQ manufacturing technology have enabled significantly higher levels of integration and system complexity. Further advancements in SFQ systems integration require improved design methodologies and flows. The lack of SFQ specific benchmark systems complicates the development and evaluation of these SFQ design flows. This limitation is particularly relevant to the development of physical design tools since existing CMOS benchmark systems are not easily adapted to support SFQ technology. In this chapter, a suite of SFQ specific interconnect routing benchmark systems is presented. The benchmark circuits support the evaluation of data signal, clock signal, and bias current routing algorithms. Due to the gate-level pipelining inherent to SFQ logic, path balancing using D flip flops is required to ensure consistent logic depth and correct operation. Popular CMOS benchmark circuits are converted into SFQ technology, producing SFQ circuits with over 100,000 logic gates. Based on Synopsys EDA tools, the layout of these systems is generated for the MIT LL SFQ5ee technology. Rows of logic cells and passive transmission lines are also included within the layout to manage the interconnect length and delay. The benchmark circuits are openly available to evaluate and enhance state-of-the-art and next-generation physical design of VLSI complexity SFQ systems.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. K.K. Likharev, V.K. Semenov, RSFQ logic/memory family: a new Josephson-junction technology for sub-terahertz-clock-frequency digital systems. IEEE Trans. Appl. Supercond. 1(1), 3–28 (1991)

    Article  Google Scholar 

  2. T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019)

    Google Scholar 

  3. T. Jabbari, E.G. Friedman, Global interconnects in VLSI complexity single flux quantum systems, in Proceedings of the Workshop on System-Level Interconnect: Problems and Pathfinding Workshop (2020), pp. 1–7

    Google Scholar 

  4. T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020)

    Google Scholar 

  5. T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759

    Google Scholar 

  6. R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)

    Article  Google Scholar 

  7. T. Jabbari, J. Kawa, E.G. Friedman, H-tree clock synthesis in RSFQ circuits, in Proceedings of the IEEE Baltic Electronics Conference (2020), pp. 1–5

    Google Scholar 

  8. T. Jabbari, G. Krylov, J Kawa, E.G. Friedman, Splitter trees in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5), 1302606 (2021)

    Google Scholar 

  9. T. Jabbari, E.G. Friedman, Flux mitigation in wide superconductive striplines. IEEE Trans. Appl. Supercond. 32(3), 1–6 (2022)

    Article  Google Scholar 

  10. T. Jabbari, E.G. Friedman, Stripline topology for flux mitigation. IEEE Trans. Appl. Supercond. 335, 1–4 (2023)

    Google Scholar 

  11. T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5

    Google Scholar 

  12. T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022)

    Google Scholar 

  13. S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)

    Article  Google Scholar 

  14. G. Krylov, E.G. Friedman, Design methodology for distributed large-scale ERSFQ bias networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 28(11), 2438–2447 (2020)

    Google Scholar 

  15. T. Jabbari, E.G. Friedman, Inductive and capacitive coupling noise in superconductive VLSI circuits. IEEE Trans. Appl. Supercond. 33(9), 3800707 (2023)

    Google Scholar 

  16. Y. Mustafa, T. Jabbari, S. Köse, Emerging attacks on logic locking in SFQ circuits and related countermeasures. IEEE Trans. Appl. Supercond. 32(3), 1–8 (2022)

    Article  Google Scholar 

  17. O. Chen, R. Cai, Ya. Wang, F. Ke, Ta. Yamae, R. Saito, N. Takeuchi, N. Yoshikawa, Adiabatic quantum-flux-parametron: towards building extremely energy-efficient circuits and systems. Sci. Rep. 9(10514), 1–10 (2019)

    Google Scholar 

  18. S.S. Meher, C. Kanungo, A. Shukla, A. Inamdar, Parametric approach for routing power nets and passive transmission lines as part of digital cells. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)

    Article  Google Scholar 

  19. S.N. Shahsavani, T. Lin, A. Shafaei, C.J. Fourie, M. Pedram, An integrated row-based cell placement and interconnect synthesis tool for large SFQ logic circuits. IEEE Trans. Appl. Supercond. 27(4), 1–8 (2017)

    Article  Google Scholar 

  20. T. Jabbari, R. Bairamkulov, J. Kawa, E. Friedman, Interconnect benchmark circuits for single flux quantum integrated circuits. IEEE Trans. Appl. Supercond. (2023). Under review

    Google Scholar 

  21. S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, C.J. Galbraith, L.M. Johnson, M.A. Gouker, V.K. Semenov, Inductance of circuit structures for MIT LL superconductor electronics fabrication process with 8 niobium layers. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015)

    Google Scholar 

  22. S.N. Shahsavani, M. Pedram, A minimum-skew clock tree synthesis algorithm for single flux quantum logic circuits. IEEE Trans. Appl. Supercond. 29(8), 1–13 (2019)

    Article  Google Scholar 

  23. W.P. Burleson, M. Ciesielski, F. Klass, W. Liu, Wave-pipelining: a tutorial and research survey. IEEE Trans. Very Large Scale Integr. Syst. 6(3), 464–474 (1998)

    Article  Google Scholar 

  24. S.K. Tolpygo, E.B. Golden, T.J. Weir, V. Bolkhovsky, Inductance of superconductor integrated circuit features with sizes down to 120 nm. Supercond. Sci. Technol. 34(8), 1–24 (2021)

    Article  Google Scholar 

  25. A. Shukla, D. Kirichenko, A. Sahu, B. Chonigman, A. Inamdar, Investigation of passive transmission lines for the MIT-LL SFQ5EE process. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)

    Article  Google Scholar 

  26. G. Pasandi, A. Shafaei, M. Pedram, SFQmap: a technology mapping tool for single flux quantum logic circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2018), pp. 1–5

    Google Scholar 

  27. G. Krylov, J. Kawa, E.G. Friedman, Design automation of superconductive digital circuits a review. IEEE Nanotechnol. Magn. 15(6), 54–67 (2021)

    Article  Google Scholar 

  28. R.N. Tadros, P.A. Beerel, A robust and self-adaptive clocking technique for SFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1–11 (2018)

    Article  Google Scholar 

  29. F. Brglez, H. Fujiwara, A neutral netlist of 10 combinational benchmark circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (1985), pp. 685–698

    Google Scholar 

  30. G. Pasandi, M. Pedram, An efficient pipelined architecture for superconducting single flux quantum logic circuits utilizing dual clocks. IEEE Trans. Appl. Supercond. 30(2), 1300412 (2020)

    Google Scholar 

  31. A. Kuehlmann, V. Paruthi, F. Krohm, M. Ganai, Robust Boolean reasoning for equivalence checking and functional property verification. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 21(12), 1377–1394 (2002)

    Article  Google Scholar 

  32. R. Brayton, A. Mishchenko, ABC: an academic industrial-strength verification tool, in International Conference on Computer Aided Verification (2010), pp. 24–40

    Google Scholar 

  33. G. Tzimpragos, J. Volk, A. Wynn, J.E. Smith, T. Sherwood, Superconducting computing with alternating logic elements, in Proceedings of the ACM/IEEE International Symposium on Computer Architecture (2021), pp. 651–664

    Google Scholar 

  34. Synopsys IC Compiler II, May (2020) [Online]. Available: https://www.synopsys.com/implementation-and-signoff/physical-implementation/ic-compiler.html

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Gleb Krylov , Tahereh Jabbari or Eby G. Friedman .

Appendices

Appendix A

1.1 SFQ Interconnect

In SFQ systems, routing methods include passive transmission lines (PTLs) and Josephson transmission lines (JTLs) behaving as interconnects. JTL and PTL interconnects are described, respectively, in subsections A1 and A2.

1.1.1 A1) Josephson Transmission Lines

A JTL is typically composed of uniformly sized Josephson junctions with a uniform inductance L between junctions, as shown in Fig. 30.2a. The number of JTLs depends upon the distance between the SFQ cells. The dimensions of one JTL stage with two JJs are 40 µm \(\times \) 20 µm (in the MIT LL 10 kA/cm2 technology). For example, for a distance of 500 µm between SFQ cells, 25 JTLs are required. The delay of one JTL stage is about 5 ps. The delay of the JTL interconnects increases linearly with the number of JTL stages [39]. The JTL interconnect is located within the active gate layers. JTL-based SFQ interconnect consumes significant area, power, and delay when connecting distant SFQ circuits, as shown in Fig. 30.9. The power and area overhead of JTL interconnect are a significant challenge for VLSI complexity SFQ circuits.

Fig. 30.9
A multiline graph plots delay in picoseconds versus length in micrometers. The lines for P T L with one J J and P T L with two J Js increase slightly from around (20, 9) and (35, 15), respectively. J T L with line widths of 350 nanometers and 1 micrometer increase to around (200, 50) and (200, 30).

A comparison between JTL vs. PTL. The JTL has a linewidth of 350 nm (dotted line) and 1 \(\upmu \)m (dash line). The PTL includes two JJs in the receiver (straight line) and one JJ in the receiver (dash-dotted line)

1.1.2 A2) Passive Transmission Lines

In large-scale SFQ circuits, PTL interconnects connect distant SFQ cells. PTLs decrease the delay of long connections while amenable to automated routing and CTS layout algorithms. A reliable topology for a PTL in the MIT LL 10 kA/cm2 technology consists of a superconductive stripline in the routing layers and the driver and receiver within the active gate layers (see Fig. 30.2b) [39]. The length of the line within the routing layer depends upon the distance between the two gates. For long interconnect, the delay and area of a PTL are significantly less than the delay of the JTL interconnect, as shown in Fig. 30.9. Two bias currents are required for the driver and receiver circuits. However, congestion in the routing layers, assuming space within the cell layers is available, can make a JTL the preferable choice for certain interconnect. With the large number of bias currents and JJs in JTL interconnects, however, significant area and energy requirements are introduced into the bias network.

Appendix B

1.1 Superconductive Layout

The layout structure of the MIT LL SFQ5ee process [111] with eight Nb layers is shown in Fig. 30.10. The JJs within an SFQ circuit are placed within the active gate layers, M5 and M6, as shown in Fig. 30.10. The routing lines and inductors are asymmetric striplines, where two signal layers are sandwiched between two ground planes. The ground planes act as nearby return paths for the magnetic currents. The stripline is separated from the lower and upper ground planes by a dielectric layer. For each routing line, no ground plane exists between signal layers. The distance to the ground planes and the thickness of the dielectric layers are therefore different. The inductors and routing striplines are placed, respectively, in the M5 and M6 layers and M2 and M3 layers. Metal resources are severely limited in this modern superconductive technology, as shown in this figure. The width of the PTL striplines is 5.2 µm in the MIT LL SFQ5ee process. Design constraints on the PTLs are discussed in Appendix B1. The structure of the bias lines is described in Appendix B2. Placement constraints are described in Sect. 30.3.2.

Fig. 30.10
A cross-sectional diagram of the M I T L L S F Q 5 e e structure. The layers from bottom to top are M 0 bias, M 0 dielectric, M 1 ground and dielectric, M 2 and 3 P T L and dielectric, M 4 ground, M 4 dielectric, M 5 gate, M 5 dielectric with J J and R, M 6 gate, M 6 dielectric, and M 7 ground.

Physical topology of superconductive circuits in the MIT LL SFQ5ee process [111, 224]

1.1.1 \((1)\) Grids and Circuit Placement

The connections between the different layers are shown in Fig. 30.3. The JJs in the M5 layer are connected with vias to the inductors in M6, also shown in Fig. 30.3. The bias distribution network is connected to the active layers with vias—M0 to M5 and M6 layers. These vias are connected to JJs with resistors in RSFQ systems and with inductors in efficient single flux quantum (ESFQ) systems.

The two primary bias lines are placed in the M0 layer every 20 \(\upmu \)m in the horizontal direction, as shown in Fig. 30.3. In addition, a vertical bias line can be placed every 20 \(\upmu \)m in the M2 layer. These bias lines restrict the vertical PTLs to the M2 layer. The horizontal and vertical PTL interconnects are routed, respectively, within the M3 and M2 layers, every 20 \(\upmu \)m. The PTL striplines exhibit a low impedance of 8 \(\Omega \) with a linewidth of 5.2 \(\upmu \)m.

The ground layers are stitched within the MIT LL SFQ5ee process to reduce noise coupling of the PTL lines to the different layers [61, 63, 87, 137, 147]. The stitching vias are placed along the PTL with a 0.5 µm space from M7 to M1, as shown in Fig. 30.3. The dimensions of these vias are 2.5 µm by 1.2 µm [576]. The stitching vias along the interconnect and related via constraints need to be considered in the layout of the SFQ benchmark circuits to enhance the robustness of the automatically routed interconnects.

1.1.2 \((2)\) Bias Lines

A JJ loses superconductivity when the bias current exceeds the critical current \(I_C\), making \(I_C\) a primary parameter of a Josephson junction [25]. The critical current of a JJ depends upon the physical area of the JJs. To maintain proper operation, the JJs within the SFQ circuits are typically biased to 0.7 \(\times \) \(I_C\) [25]. The large number of JJs and bias currents in JTL and PTL interconnects emphasizes the importance of the distribution network for the bias currents within a complex SFQ system [131].

The bias distribution network within an SFQ system supplies the precise bias current to each JJ. Unlike CMOS power distribution networks, an SFQ bias distribution network is lossless until the load is reached. The bias current is distributed by inductive current division within SFQ circuits [131]. In these circuits, the bias lines and interconnects are placed within the different layers, providing multiple independent paths to feed the different devices. The multiple bias lines enhance the flexibility of the layout topology and bias schemes.

The bias lines within the M0 layer are separated from the upper ground plane, the M1 layer, by a dielectric layer. The width of the bias lines in the MIT LL SFQ5ee process is 1.8 µm [224]. The bias lines carrying high currents can also affect the operation of the PTLs. The design of the bias distribution and signal routing structures requires careful focus to support complex SFQ systems [131].

Rights and permissions

Reprints and permissions

Copyright information

© 2024 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Krylov, G., Jabbari, T., Friedman, E.G. (2024). Benchmark Circuits for Single Flux Quantum Integrated Systems. In: Single Flux Quantum Integrated Circuit Design. Springer, Cham. https://doi.org/10.1007/978-3-031-47475-0_30

Download citation

  • DOI: https://doi.org/10.1007/978-3-031-47475-0_30

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-031-47474-3

  • Online ISBN: 978-3-031-47475-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics