Skip to main content

Synchronization

  • Chapter
  • First Online:
Single Flux Quantum Integrated Circuit Design

Abstract

The speed of operation in a synchronous circuit is controlled by the clock distribution network. Most RSFQ circuits require a large multi-gigahertz clock network, as unlike CMOS, most logic gates are clocked. AQFP circuits however utilize a multiphase AC power network for synchronization. In a self-timed asynchronous circuit, where a global clock network is absent, handshaking gates and protocols are necessary. Timing tolerances in all of these systems are extremely narrow, and an effective clock network is required for robust high-performance operation. The optimal design of the clock distribution network, providing robustness against timing variations, and proper organization of the handshaking circuits are crucial to maintain correct operation of multi-gigahertz systems. Techniques providing solutions to these issues in superconductive circuits are also described in this chapter. Existing pulse-based clock distribution topologies are introduced and related tradeoffs are described. Asynchronous techniques which do not require a global clock are discussed. Synchronization in AC-biased circuits, utilizing a different clocking mechanism, is also described.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. K.K. Likharev, V.K. Semenov, RSFQ logic/memory family: a new Josephson-junction technology for sub-terahertz-clock-frequency digital systems. IEEE Trans. Appl. Supercond. 1(1), 3–28 (1991)

    Article  Google Scholar 

  2. O.A. Mukhanov, Energy-efficient single flux quantum technology. IEEE Trans. Appl. Supercond. 21(3), 760–769 (2011)

    Article  Google Scholar 

  3. S. Whiteley, E. Mlinar, G. Krylov, T. Jabbari, E.G. Friedman, J. Kawa, An SFQ digital circuit technology with fully-passive transmission line interconnect, in Proceedings of the Applied Superconductivity Conference (2020)

    Google Scholar 

  4. T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Global signaling for large scale RSFQ circuits, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2019), pp. 1–6

    Google Scholar 

  5. T. Jabbari, E.G. Friedman, Global interconnects in VLSI complexity single flux quantum systems, in Proceedings of the Workshop on System-Level Interconnect: Problems and Pathfinding Workshop (2020), pp. 1–7

    Google Scholar 

  6. T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020)

    Google Scholar 

  7. T. Jabbari, E.G. Friedman, SFQ/DQFP interface circuits. IEEE Trans. Appl. Supercond. 33(5), 1–5 (2023)

    Google Scholar 

  8. T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759

    Google Scholar 

  9. R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)

    Article  Google Scholar 

  10. T. Jabbari, J. Kawa, E.G. Friedman, H-tree clock synthesis in RSFQ circuits, in Proceedings of the IEEE Baltic Electronics Conference (2020), pp. 1–5

    Google Scholar 

  11. T. Jabbari, G. Krylov, J Kawa, E.G. Friedman, Splitter trees in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5), 1302606 (2021)

    Google Scholar 

  12. T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5

    Google Scholar 

  13. G. Krylov, E.G. Friedman, Partitioning RSFQ circuits for current recycling. IEEE Trans. Appl. Supercond. 31(5), 1–6 (2021)

    Article  Google Scholar 

  14. G. Krylov, E.G. Friedman, Design methodology for distributed large-scale ERSFQ bias networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 28(11), 2438–2447 (2020)

    Google Scholar 

  15. G. Krylov, E.G. Friedman, Asynchronous dynamic single flux quantum majority gates. IEEE Trans. Appl. Supercond. 30(5), 1–7 (2020). Art no. 1300907

    Google Scholar 

  16. O. Chen, R. Cai, Ya. Wang, F. Ke, Ta. Yamae, R. Saito, N. Takeuchi, N. Yoshikawa, Adiabatic quantum-flux-parametron: towards building extremely energy-efficient circuits and systems. Sci. Rep. 9(10514), 1–10 (2019)

    Google Scholar 

  17. Y. Ando, R. Sato, M. Tanaka, K. Takagi, N. Takagi, A. Fujimaki, Design and demonstration of an 8-bit bit-serial RSFQ microprocessor: CORE e4. IEEE Trans. Appl. Supercond. 26(5), 1301205 (2016)

    Google Scholar 

  18. G. Krylov, E.G. Friedman, Globally asynchronous, locally synchronous clocking and shared interconnect for large-scale SFQ systems. IEEE Trans. Appl. Supercond. 29(5), 1–5 (2019)

    Google Scholar 

  19. S. Polonsky, Delay insensitive RSFQ circuits with zero static power dissipation. IEEE Trans. Appl. Supercond. 9(2), 3535–3538 (1999)

    Article  Google Scholar 

  20. P. Patra, S. Polonsky, D.S. Fussell, Delay insensitive logic for RSFQ superconductor technology, in Proceedings of the IEEE International Symposium on Advanced Research in Asynchronous Circuits and Systems (1997), pp. 42–53

    Google Scholar 

  21. S.N. Shahsavani, T. Lin, A. Shafaei, C.J. Fourie, M. Pedram, An integrated row-based cell placement and interconnect synthesis tool for large SFQ logic circuits. IEEE Trans. Appl. Supercond. 27(4), 1–8 (2017)

    Article  Google Scholar 

  22. T.V. Filippova, A. Sahua, A.F. Kirichenkoa, I.V. Vernika, M. Dorojevetsb, C.L. Ayalab, O.A. Mukhanov, 20 GHz operation of an asynchronous wave-pipelined RSFQ arithmetic-logic unit. Physics Procedia 36, 59–65 (2012)

    Article  Google Scholar 

  23. Y. Kameda, S. Yorozu, Y. Hashimoto, A new design methodology for single-flux-quantum (SFQ) logic circuits using passive-transmission-line (PTL) wiring. IEEE Trans. Appl. Supercond. 17(2), 508–511 (2007)

    Article  Google Scholar 

  24. T. Jabbari, R. Bairamkulov, J. Kawa, E. Friedman, Interconnect benchmark circuits for single flux quantum integrated circuits. IEEE Trans. Appl. Supercond. (2023). Under review

    Google Scholar 

  25. E.G. Friedman, Clock distribution design in VLSI circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (1993), pp. 1475–1478

    Google Scholar 

  26. K. Gaj, E.G. Friedman, M.J. Feldman, A. Krasniewski, A clock distribution scheme for large RSFQ circuits. IEEE Trans. Appl. Supercond. AS-5(2), 3320–3324 (1995)

    Article  Google Scholar 

  27. E.G. Friedman, Clock distribution networks in synchronous digital integrated circuits. Proc. IEEE 89(5), 665–692 (2001)

    Article  Google Scholar 

  28. S.V. Rylov, Clockless dynamic SFQ and gate with high input skew tolerance. IEEE Trans. Appl. Supercond. 29(5), 1–5 (2019)

    Article  Google Scholar 

  29. Q.P. Herr, P. Bunyk, Implementation and application of first-in first-out buffers. IEEE Trans. Appl. Supercond. 13(2), 563–566 (2003)

    Article  Google Scholar 

  30. E.G. Friedman, High Performance Clock Distribution Networks (Springer, Berlin, 1997)

    Book  Google Scholar 

  31. K. Gaj, E.G. Friedman, M.J. Feldman, Timing of large RSFQ digital circuits, in Proceedings of the IEEE International Superconductive Electronics Conference (1997), pp. 299–301

    Google Scholar 

  32. S.N. Shahsavani, M. Pedram, A minimum-skew clock tree synthesis algorithm for single flux quantum logic circuits. IEEE Trans. Appl. Supercond. 29(8), 1–13 (2019)

    Article  Google Scholar 

  33. J.L. Neves, E.G. Friedman, Automated synthesis of skew-based clock distribution networks. VLSI Des. 7(1), 31–57 (1998)

    Article  Google Scholar 

  34. Q.P. Herr, N. Vukovic, C.A. Mancini, K. Gaj, Q. Ke, V. Adler, E.G. Friedman, A. Krasniewski, M.F. Bocko, M.J. Feldman, Design and low speed testing of a four-bit RSFQ multiplier-accumulator. IEEE Trans. Appl. Supercond. 7(2), 3168–3171 (1997)

    Article  Google Scholar 

  35. P. Bunyk, P. Litskevitch, Case study in RSFQ design: fast pipelined parallel adder. IEEE Trans. Appl. Supercond. 9(2), 3714–3720 (1999)

    Article  Google Scholar 

  36. S.N. Shahsavani, A. Shafaei, M. Pedram, A placement algorithm for superconducting logic circuits based on cell grouping and super-cell placement. Proc. IEEE Des. Autom. Test Eur. Conf. 29, 1465–1468 (2018)

    Google Scholar 

  37. O.A. Mukhanov, Rapid single flux quantum (RSFQ) shift register family. IEEE Trans. Appl. Supercond. 3(1), 2578–2581 (1993)

    Article  Google Scholar 

  38. C.A. Mancini, N. Vukovic, A.M. Herr, K. Gaj, M.F. Bocko, M.J. Feldman, RSFQ circular shift registers. IEEE Trans. Appl. Supercond. 7(2), 2832–2835 (1997)

    Article  Google Scholar 

  39. R.N. Tadros, P.A. Beerel, A robust and tree-free hybrid clocking technique for RSFQ circuits—CSR application, in Proceedings of the International Superconductive Electronics Conference (2017), pp. 1–4

    Google Scholar 

  40. R.N. Tadros, P.A. Beerel, A robust and self-adaptive clocking technique for SFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1301211 (2018)

    Google Scholar 

  41. E.G. Friedman (ed.), Clock Distribution Networks in VLSI Circuits and Systems (IEEE Press, Piscataway, 1995)

    Google Scholar 

  42. M. Dorojevets, P. Bunyk, D. Zinoviev, FLUX chip: design of a 20-GHz 16-bit ultrapipelined RSFQ processor prototype based on 1.75-\(\mu \)m LTS technology. IEEE Trans. Appl. Supercond. 11(1), 326–332 (2001)

    Google Scholar 

  43. M. Dorojevets, P. Bunyk, Architectural and implementation challenges in designing high-performance RSFQ processors: a FLUX-1 microprocessor and beyond. IEEE Trans. Appl. Supercond. 13(2), 446–449 (2003)

    Article  Google Scholar 

  44. L.C. Müller, H.R. Gerber, C.J. Fourie, Review and comparison of RSFQ asynchronous methodologies. J. Phys. Conf. Ser. 97, 012109 (2007)

    Article  Google Scholar 

  45. I.E. Sutherland, Micropipelines. Commun. ACM 32(6), 720–738 (1989)

    Article  Google Scholar 

  46. O.A. Mukhanov, S.V. Rylov, V.K. Semonov, S.V. Vyshenskii, RSFQ logic arithmetic. IEEE Trans. Magn. 25(2), 857–860 (1989)

    Article  Google Scholar 

  47. M. Dorojevets, C. Ayala, A. Kasperek, Development and evaluation of design techniques for high-performance wave-pipelined wide datapath RSFQ processors, in Proceedings of the IEEE International Superconductive Electronics Conference (2009), pp. 1–2

    Google Scholar 

  48. T. Filippov, M. Dorojevets, A. Sahu, A. Kirichenko, C. Ayala, O. Mukhanov, 8-Bit asynchronous wave-pipelined RSFQ arithmetic-logic unit. IEEE Trans. Appl. Supercond. 21(3), 847–851 (2011)

    Article  Google Scholar 

  49. M. Dorojevets, C.L. Ayala, N. Yoshikawa, A. Fujimaki, 16-Bit wave-pipelined sparse-tree RSFQ adder. IEEE Trans. Appl. Supercond. 23(3), 1700605 (2013)

    Google Scholar 

  50. M. Maezawa, I. Kurosawa, M. Aoyagi, H. Nakagawa, Y. Kameda, T. Nanya, Rapid single-flux-quantum dual-rail logic for asynchronous circuits. IEEE Trans. Appl. Supercond. 7(2), 2705–2708 (1997)

    Article  Google Scholar 

  51. I. Kurosawa, H. Nakagawa, M. Aoyagi, M. Maezawa, Y. Kameda, T. Nanya, A basic circuit for asynchronous superconductive logic using RSFQ gates. Supercond. Sci. Technol. 9(4A), A46–A49 (1996)

    Article  Google Scholar 

  52. T. Hosoki, H. Kodaka, M. Kitagawa, Y. Okabe, Design and experimentation of BSFQ logic devices. Supercond. Sci. Technol. 12(11), 773–775 (1999)

    Article  Google Scholar 

  53. H.R. Gerber, C.J. Fourie, W.J. Perold, RSFQ-asynchronous timing (RSFQ-AT): a new design methodology for implementation in CAD automation. IEEE Trans. Appl. Supercond. 15(2), 272–275 (2005)

    Article  Google Scholar 

  54. Z.J. Deng, N. Yoshikawa, S.R. Whiteley, T. Van Duzer, Data-driven self-timed RSFQ digital integrated circuit and system. IEEE Trans. Appl. Supercond. 7(2), 3634–3637 (1997)

    Article  Google Scholar 

  55. N. Yoshikawa, F. Matsuzaki, N. Nakajima, K. Fujiwara, K. Yoda, K. Kawasaki, Design and component test of a tiny processor based on the SFQ technology. IEEE Trans. Appl. Supercond. 13(2), 441–445 (2003)

    Article  Google Scholar 

  56. Y. Nobumori, T. Nishigai, K. Nakamiya, N. Yoshikawa, A. Fujimaki, H. Terai, S. Yorozu, Design and implementation of a fully asynchronous SFQ microprocessor: SCRAM2. IEEE Trans. Appl. Supercond. 17(2), 478–481 (2007)

    Article  Google Scholar 

  57. R.M. Keller, Towards a theory of universal speed-independent modules. IEEE Trans. Comput. C-23(1), 21–33 (1974)

    Article  MathSciNet  Google Scholar 

  58. N. Tsuji, Y. Yamanashi, N. Takeuchi, C. Ayala, N. Yoshikawa, Design and implementation of scalable register files using adiabatic quantum flux parametron logic, in Proceedings of the IEEE International Superconductive Electronics Conference (2017), pp. 1–3

    Google Scholar 

  59. N. Takeuchi, M. Nozoe, Y. He, N. Yoshikawa, Low-latency adiabatic superconductor logic using delay-line clocking. Appl. Phys. Lett. 115(7), 072601 (2019)

    Google Scholar 

  60. Y. He, N. Takeuchi, N. Yoshikawa, Low-latency power-dividing clocking scheme for adiabatic quantum-flux-parametron logic. Appl. Phys. Lett. 116(18), 182602 (2020)

    Google Scholar 

  61. N. Takeuchi, C.L. Ayala, O. Chen, N. Yoshikawa, A feedback-friendly large-scale clocking scheme for adiabatic quantum-flux-parametron logic datapaths. IEEE Trans. Appl. Supercond. 29(5), 1–5 (2019)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Gleb Krylov , Tahereh Jabbari or Eby G. Friedman .

Rights and permissions

Reprints and permissions

Copyright information

© 2024 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Krylov, G., Jabbari, T., Friedman, E.G. (2024). Synchronization. In: Single Flux Quantum Integrated Circuit Design. Springer, Cham. https://doi.org/10.1007/978-3-031-47475-0_11

Download citation

  • DOI: https://doi.org/10.1007/978-3-031-47475-0_11

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-031-47474-3

  • Online ISBN: 978-3-031-47475-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics