Abstract
The design of on-chip power delivery networks (PDNs) and thermal networks involves the solution of large systems of linear equations. This computational intensive step is a critical part of the IC design process and has been a significant computational bottleneck for electronic design automation. Machine learning techniques can efficiently solve these problems by performing fast and accurate analysis and optimization. This chapter presents ML methods in this domain: for analyzing PDNs for IR drop and electromigration, for analyzing thermal networks for temperature, for optimizing PDNs by mapping the problem to a classification problem, and for generating PDN benchmarks.
Access this chapter
Tax calculation will be finalised at checkout
Purchases are for personal use only
Similar content being viewed by others
Notes
- 1.
The open-source version of PDNSim is for static IR drop analysis only. Therefore, a modified version of the source code to perform dynamic IR drop analysis.
- 2.
In principle, the macro grid can be connected to the PDN through the edges of the macro. However, in practice, the regions around the macro are halos of placement and routing blockages, to avoid abutment and DRC issues.
References
Allec, N., Hassan, Z., Shang, L., Dick, R.P., Yang, R.: ThermalScope: multi-scale thermal analysis for nanometer-scale integrated circuits. In: Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 603–610 (2008)
Amick, B.W., Gauthier, C.R., Liu, D.: Macro-modeling concepts for the chip electrical interface. In: Proceedings of the ACM/IEEE Design Automation Conference, pp. 391–394 (2002)
Ansys: Icepak (2018). https://www.ansys.com/products/electronics/ansys-icepak
Badrinarayanan, V., Kendall, A., Cipolla, R.: SegNet: A deep convolutional encoder-decoder architecture for image segmentation. IEEE Trans. Pattern Anal. Mach. Intell. 39(12), 2481–2495 (2017)
Bhooshan, R.: Novel and efficient IR-drop models for designing power distribution network for sub-100nm integrated circuits. In: Proceedings of the IEEE International Symposium on Quality Electronic Design, pp. 287–292 (2007)
Brock, A., Donahue, J., Simonyan, K.: Large scale GAN training for high fidelity natural image synthesis. In: Proceedings of the International Conference on Learning Research (2019)
Cadence: Innovus Implementation System (2018). https://www.cadence.com/en_US/home/tools/digital-design-and-signoff/soc-implementation-and-floorplanning/innovus-implementation-system.html
Cadence: Voltus IC Power Integrity Solution (2018). https://www.cadence.com/en_US/home/tools/digital-design-and-signoff/silicon-signoff/voltus-ic-power-integrity-solution.html
Chang, W., Lin, C., Mu, S., Chen, L., Tsai, C., Chiu, Y., Chao, M.C.: Generating routing-driven power distribution networks with machine-learning technique. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(8), 1237–1250 (2017)
Chhabria, V.A., Sapatnekar, S.S.: OpeNPDN (2018). https://github.com/The-OpenROAD-Project/OpeNPDN
Chhabria, V.A., Sapatnekar, S.S.: OpeNPDN: a neural-network-based framework for power delivery network synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3515–3528 (2022)
Chhabria, V.A., Sapatnekar, S.S.: PDNSim (2021). https://github.com/The-OpenROAD-Project/OpenROAD/tree/master/src/psm
Chhabria, V.A., Kahng, A.B., Kim, M., Mallappa, U., Sapatnekar, S.S., Xu, B.: Template-based PDN synthesis in floorplan and placement using classifier and CNN techniques. In: Proceedings of the Asia-South Pacific Design Automation Conference, pp. 44–49 (2020)
Chhabria, V.A., Ahuja, V., Prabhu, A., Patil, N., Jain, P., Sapatnekar, S.S.: Encoder-decoder networks for analyzing thermal and power delivery networks. ACM Trans. Des. Autom. Electron. Syst. (in press)
Chhabria, V.A., Ahuja, V., Prabhu, A., Patil, N., Jain, P., Sapatnekar, S.S.: Thermal and IR drop analysis using convolutional encoder-decoder networks. In: Proceedings of the Asia-South Pacific Design Automation Conference, pp. 690–696 (2021)
Chhabria, V.A., Kunal, K., Zabihi, M., Sapatnekar, S.S.: BeGAN-benchmarks (2021). https://github.com/UMN-EDA/BeGAN-benchmarks
Chhabria, V.A., Kunal, K., Zabihi, M., Sapatnekar, S.S.: BeGAN: Power grid benchmark generation using a process-portable GAN-based methodology. In: Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (2021)
Chhabria, V.A., Zhang, Y., Ren, H., Keller, B., Khailany, B., Sapatnekar, S.S.: MAVIREC: ML-aided vectored IR-drop estimation and classification. In: Proceedings of the Design, Automation & Test in Europe, pp. 1825–1828 (2021)
Chiprout, E.: Fast flip-chip power grid analysis via locality and grid shells. In: Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 485–488 (2004)
Dey, S., Nandi, S., Trivedi, G.: PowerPlanningDL: reliability-aware framework for on-chip power grid design using deep learning. In: Proceedings of the Design, Automation & Test in Europe, pp. 1520–1525 (2020)
Dumoulin, V., Visin, F.: A guide to convolution arithmetic for deep learning. arXiv:1603.07285 (2016)
Fang, Y.C., Lin, H.Y., Su, M.Y., Li, C.M., Fang, E.J.W.: Machine-learning-based dynamic IR drop prediction for ECO. In: Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (2018)
Goodfellow, I.J., Pouget-Abadie, J., Mirza, M., Xu, B., Warde-Farley, D., Ozair, S., Courville, A., Bengio, Y.: Generative adversarial networks. In: Proceedings of the Conference on Neural Information Processing Systems, pp. 2672–2680 (2014)
Ho, C.T., Kahng, A.B.: IncPIRD: fast learning-based prediction of incremental IR drop. In: Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (2019)
Hochreiter, S., Schmidhuber, J.: Long short-term memory. Neural Comput. 9(8), 1735–1780 (1997)
Hsu, H., Chen, M., Chen, H., Li, H., Chen, S.: On effective flip-chip routing via pseudo single redistribution layer. In: Proceedings of the Design, Automation & Test in Europe, pp. 1597–1602 (2012)
Jakushokas, R., Friedman, E.G.: Methodology for multi-layer interdigitated power and ground network design. In: Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 3208–3211 (2010)
Jin, W., Sadiqbatcha, S., Sun, Z., Zhou, H., Tan, S.X.D.: EM-GAN: data-driven fast stress analysis for multi-segment interconnects. In: Proceedings of the IEEE International Conference on Computer Design, pp. 296–303 (2020)
Jin, W., Sadiqbatcha, S., Zhang, J., Tan, S.X..D.: Full-chip thermal map estimation for commercial multi-core CPUs with generative adversarial learning. In: Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (2020)
Juan, D., Zhou, H., Marculescu, D., Li, X.: A learning-based autoregressive model for fast transient thermal analysis of chip-multiprocessors. In: Proceedings of the Asia-South Pacific Design Automation Conference, pp. 597–602 (2012)
Karras, T., Aila, T., Laine, S., Lehtinen, J.: Progressive growing of GANs for improved quality, stability, and variation. In: Proceedings of the International Conference on Learning Research (2018)
Karras, T., Laine, S., Aila, T.: A style-based generator architecture for generative adversarial networks. In: Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, pp. 4396–4405 (2019)
Kingma, D., Ba, J.: Adam: a method for stochastic optimization. In: Proceedings of the International Conference on Learning Research (2015)
Kozhaya, J.N., Nassif, S.R., Najm, F.N.: Multigrid-like technique for power grid analysis. In: Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 480–487 (2001)
LeCun, Y., Bottou, L., Bengio, Y., Haffner, P.: Gradient-based learning applied to document recognition. Proc. IEEE 86(11), 2278–2324 (1998)
Li, P.: Power grid simulation via efficient sampling-based sensitivity analysis and hierarchical symbolic relaxation. In: Proceedings of the ACM/IEEE Design Automation Conference, pp. 664–669 (2005)
Li, P., Pileggi, L., Asheghi, M., Chandra, R.: IC thermal simulation and modeling via efficient multigrid-based approaches. IEEE Trans. Comput. Aided Desig. Integr. Circuits Syst. 25(9), 1763–1776 (2006)
Li, Z., Balasubramanian, R., Liu, F., Nassif, S.: 2012 TAU power grid simulation contest: benchmark suite and results. In: Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 478–481 (2012)
Lin, S.Y., Fang, Y.C., Li, Y.C., Liu, Y., Yang, T., Lin, S.C., Li, C.M.J., Fang, E.J.W.: IR drop prediction of ECO-revised circuits using machine learning. In: Proceedings of the VLSI Test Symposium (2018)
Mao, X.J., Shen, C., Yang, Y.B.: Image restoration using very deep convolutional encoder-decoder networks with symmetric skip connections. In: Proceedings of the Conference on Neural Information Processing Systems (2016)
Miyato, T., Kataoka, T., Koyama, M., Yoshida, Y.: Spectral normalization for generative adversarial networks. In: Proceedings of the International Conference on Learning Research (2018)
Müller, S., Schüler, L., Zech, A., Heße, F.: GSTools v1.3: a toolbox for geostatistical modelling in Python. Geosci. Model Dev. 15, 3161–3182 (2022)
Nassif, S.: Power grid analysis benchmarks. In: Proceedings of the Asia-South Pacific Design Automation Conference, pp. 376–381 (2008)
Noguchi, A., Harada, T.: Image generation from small datasets via batch statistics adaptation. In: Proceedings of the IEEE International Conference on Computer Vision, pp. 2750–2758 (2019)
Oquab, M., Bottou, L., Laptev, I., Sivic, J.: Learning and transferring mid-level image representations using convolutional neural networks. In: Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, pp. 1717–1724 (2014)
Pan, S.J., Yang, Q.: A survey on transfer learning. IEEE Trans. Knowl. Data Eng. 22(10), 1345–1359 (2010)
Ronneberger, O., Fischer, P., Brox, T.: U-Net: Convolutional networks for biomedical image segmentation. In: Proceedings of the International Conference on Medical Image Computing and Computer Assisted Intervention, pp. 234–241 (2015)
Sadiqbatcha, S., Zhang, J., Amrouch, H., Tan, S.X.D.: Real-time full-chip thermal tracking: a post-silicon, machine learning perspective. IEEE Trans. Comput. 71(6), 1411–1424 (2022)
Shelhamer, E., Long, J., Darrell, T.: Fully convolutional networks for semantic segmentation. IEEE Trans. Pattern Anal. Mach. Intell. 39(4), 640–651 (2017)
Shi, X., Chen, Z., Wang, H., Yeung, D.Y., Wong, W.K., Woo, W.C.: Convolutional LSTM network: a machine learning approach for precipitation nowcasting. In: Proceedings of the Conference on Neural Information Processing Systems (2015)
Singh, J., Sapatnekar, S.S.: Partition-based algorithm for power grid design using locality. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(4), 664–677 (2006)
Su, H., Hu, J., Sapatnekar, S.S., Nassif, S.R.: Congestion-driven codesign of power and signal networks. In: Proceedings of the ACM/IEEE Design Automation Conference, pp. 64–69 (2002)
Tan, X.D., Shi, C.R., Lungeanu, D., Lee, J.C., Yuan, L.P.: Reliability-constrained area optimization of VLSI power/ground networks via sequence of linear programmings. In: Proceedings of the ACM/IEEE Design Automation Conference, pp. 78–83 (1999)
The OpenROAD project (2022). https://github.com/The-OpenROAD-Project
Wei, Y., Sze, C., Viswanathan, N., Li, Z., Alpert, C.J., Reddy, L., Huber, A.D., Tellez, G.E., Keller, D., Sapatnekar, S.S.: GLARE: global and local wiring aware routability evaluation. In: Proceedings of the ACM/IEEE Design Automation Conference, pp. 768–773 (2012)
Wen, J., Pan, S., Chang, N., Chuang, W., Xia, W., Zhu, D., Kumar, A., Yang, E., Srinivasan, K., Li, Y.: DNN-based fast static on-chip thermal solver. In: Proceedings of the IEEE Symposium on Semiconductor Thermal Measurment, Modeling, and Management, pp. 65–75 (2020)
Wu, X., Hong, X., Cai, Y., Luo, Z., Cheng, C.K., Gu, J., Dai, W.: Area minimization of power distribution network using efficient nonlinear programming techniques. IEEE Trans. Comput. Aided Desig. Integr. Circuits Syst. 23(7), 1086–1094 (2004)
Xie, Z., Ren, H., Khailany, B., Sheng, Y., Santosh, S., Hu, J., Chen, Y.: PowerNet: transferable dynamic IR drop estimation via maximum convolutional neural network. In: Proceedings of the Asia-South Pacific Design Automation Conference, pp. 13–18 (2020)
Yazdani, F.: Foundations of Heterogeneous Integration: An Industry-Based, 2.5D/3D Pathfinding and Co-Design Approach. Springer, Boston (2018)
Zhan, Y., Kumar, S.V., Sapatnekar, S.S.: Thermally-aware design. Found. Trends Electron. Desig. Automat. 2(3), 255–370 (2008)
Zhang, K., Guliani, A., Ogrenci-Memik, S., Memik, G., Yoshii, K., Sankaran, R., Beckman, P.: Machine learning-based temperature prediction for runtime thermal management across system components. IEEE Trans. Parallel Distrib. Syst. 29(2), 405–419 (2018)
Zhao, M., Panda, R., Sapatnekar, S., Blaauw, D.: Hierarchical analysis of power distribution networks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(2), 159–168 (2002)
Zhao, M., Cong, Y., Carin, L.: On leveraging pretrained GANs for limited-data generation. In: International Conference on Machine Learning, pp. 11340–11351 (2020)
Zhong, Y., Wong, M.D.F.: Fast algorithms for IR drop analysis in large power grid. In: Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 351–357 (2005)
Zhuang, H., Yu, W., Weng, S., Kang, I., Lin, J., Zhang, X., Coutts, R., Cheng, C.: Simulation algorithms with exponential integration for time-domain analysis of large-scale power delivery networks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(10), 1681–1694 (2016)
Author information
Authors and Affiliations
Corresponding author
Editor information
Editors and Affiliations
Rights and permissions
Copyright information
© 2022 The Author(s), under exclusive license to Springer Nature Switzerland AG
About this chapter
Cite this chapter
Chhabria, V.A., Sapatnekar, S.S. (2022). Deep Learning for Analyzing Power Delivery Networks and Thermal Networks. In: Ren, H., Hu, J. (eds) Machine Learning Applications in Electronic Design Automation. Springer, Cham. https://doi.org/10.1007/978-3-031-13074-8_5
Download citation
DOI: https://doi.org/10.1007/978-3-031-13074-8_5
Published:
Publisher Name: Springer, Cham
Print ISBN: 978-3-031-13073-1
Online ISBN: 978-3-031-13074-8
eBook Packages: Mathematics and StatisticsMathematics and Statistics (R0)