Skip to main content

Machine Learning for Mask Synthesis and Verification

  • Chapter
  • First Online:
Machine Learning Applications in Electronic Design Automation
  • 1696 Accesses

Abstract

The explosion of machine learning and AI techniques has brought great opportunities of data-assisted optimization for VLSI design automation problems. Recent studies have demonstrated promising results dealing with lithography compliance issues. In this chapter, we will introduce successful attempts using machine learning for mask synthesis and verification, including lithograph modeling, hotspot detection, mask optimization, and layout pattern generation. We hope this chapter can motivate future research on AI-assisted DFM solutions.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 89.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 119.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    Here s itself is meaningless, and we simply use \(\tilde {\boldsymbol {s}}\) to indicate the term is related to frequency domain.

References

  1. Mack, C.: Fundamental Principles of Optical Lithography: The Science of Microfabrication. Wiley, New York (2008)

    Google Scholar 

  2. Greivenkamp, J.E.: Field Guide to Geometrical Optics. SPIE Press, Bellingham (2004)

    Book  Google Scholar 

  3. Banerjee, S., Agarwal, K.B., Orshansky, M.: Simultaneous OPC and decomposition for double exposure lithography. In: Proceedings of SPIE, vol. 7973 (2011)

    Google Scholar 

  4. Li, X., Luk-Pat, G., Cork, C., Barnes, L., Lucas, K.: Double-patterning-friendly OPC. In: Proceedings of SPIE, vol. 7274 (2009)

    Google Scholar 

  5. Gupta, M., Jeong, K., Kahng, A.B.: Timing yield-aware color reassignment and detailed placement perturbation for double patterning lithography. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 607–614 (2009)

    Google Scholar 

  6. Kuang, J., Chow, W.K., Young, E.F.Y.: Triple patterning lithography aware optimization for standard cell based design. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 108–115 (2014)

    Google Scholar 

  7. Geng, H., Yang, H., Ma, Y., Mitra, J., Yu, B.: SRAF insertion via supervised dictionary learning. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 406–411 (2019)

    Google Scholar 

  8. Xu, X., Matsunawa, T., Nojima, S., Kodama, C., Kotani, T., Pan, D.Z.: A machine learning based framework for sub-resolution assist feature generation. In: ACM International Symposium on Physical Design (ISPD), pp. 161–168 (2016)

    Google Scholar 

  9. Lin, T., Robert, F., Borjon, A., Russell, G., Martinelli, C., Moore, A., Rody, Y.: Sraf placement and sizing using inverse lithography technology. In: Optical Microlithography XX, vol. 6520, p. 65202A. International Society for Optics and Photonics, Washington (2007)

    Google Scholar 

  10. Cobb, N.B.: Fast optical and process proximity correction algorithms for integrated circuit manufacturing. Ph.D. thesis, University of California, Berkeley (1998)

    Google Scholar 

  11. Gao, J.R., Xu, X., Yu, B., Pan, D.Z.: MOSAIC: Mask optimizing solution with process window aware inverse correction. In: ACM/IEEE Design Automation Conference (DAC), pp. 52:1–52:6 (2014)

    Google Scholar 

  12. Yang, H., Li, S., Ma, Y., Yu, B., Young, E.F.: GAN-OPC: Mask optimization with lithography-guided generative adversarial nets. In: ACM/IEEE Design Automation Conference (DAC), pp. 131:1–131:6 (2018)

    Google Scholar 

  13. Su, Y.H., Huang, Y.C., Tsai, L.C., Chang, Y.W., Banerjee, S.: Fast lithographic mask optimization considering process variation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 35(8), 1345–1357 (2016)

    Google Scholar 

  14. Chen, G., Yu, Z., Liu, H., Ma, Y., Yu, B.: DevelSet: Deep neural level set for instant mask optimization. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–9. IEEE, New York (2021)

    Google Scholar 

  15. Ma, X., Arce, G.R.: Computational lithography, vol. 77. Wiley, New York (2011)

    Google Scholar 

  16. Watanabe, Y., Kimura, T., Matsunawa, T., Nojima, S.: Accurate lithography simulation model based on convolutional neural networks. In: Proceedings of SPIE, vol. 10454, p. 104540I (2017)

    Google Scholar 

  17. Ye, W., Alawieh, M.B., Lin, Y., Pan, D.Z.: LithoGAN: End-to-end lithography modeling with generative adversarial networks. In: ACM/IEEE Design Automation Conference (DAC), pp. 1–6. IEEE, New York (2019)

    Google Scholar 

  18. Chen, G., Chen, W., Sun, Q., Ma, Y., Yang, H., Yu, B.: DAMO: Deep agile mask optimization for full chip scale.IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) vol. 41, no. 9, pp. 3118–3131 (2021)

    Google Scholar 

  19. Ye, W., Alawieh, M.B., Watanabe, Y., Nojima, S., Lin, Y., Pan, D.Z.: TEMPO: Fast mask topography effect modeling with deep learning. In: ACM International Symposium on Physical Design (ISPD), pp. 127–134 (2020)

    Google Scholar 

  20. Shim, S., Choi, S., Shin, Y.: Machine learning-based 3d resist model. In: Proceedings of SPIE, vol. 10147, p. 101471D. International Society for Optics and Photonics, Washington (2017)

    Google Scholar 

  21. Lin, Y., Li, M., Watanabe, Y., Kimura, T., Matsunawa, T., Nojima, S., Pan, D.Z.: Data efficient lithography modeling with transfer learning and active data selection. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 38(10), 1900–1913 (2019)

    Google Scholar 

  22. Ronneberger, O., Fischer, P., Brox, T.: U-net: Convolutional networks for biomedical image segmentation. In: International Conference on Medical image computing and computer-assisted intervention, pp. 234–241. Springer, Berlin (2015)

    Google Scholar 

  23. Zhou, Z., Siddiquee, M.M.R., Tajbakhsh, N., Liang, J.: Unet++: A nested u-net architecture for medical image segmentation. In: Deep learning in Medical Image Analysis and Multimodal Learning for Clinical Decision Support, pp. 3–11. Springer, New York (2018)

    Google Scholar 

  24. Radford, A., Metz, L., Chintala, S.: Unsupervised representation learning with deep convolutional generative adversarial networks. In: International Conference on Learning Representations (ICLR) (2016)

    Google Scholar 

  25. Wang, T.C., Liu, M.Y., Zhu, J.Y., Tao, A., Kautz, J., Catanzaro, B.: High-resolution image synthesis and semantic manipulation with conditional gans. In: IEEE Conference on Computer Vision and Pattern Recognition (CVPR), pp. 8798–8807 (2018)

    Google Scholar 

  26. Johnson, J., Alahi, A., Fei-Fei, L.: Perceptual losses for real-time style transfer and super-resolution. In: European Conference on Computer Vision (2016)

    Google Scholar 

  27. Torres, A.J.: ICCAD-2012 CAD contest in fuzzy pattern matching for physical verification and benchmark suite. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 349–350 (2012)

    Google Scholar 

  28. Matsunawa, T., Gao, J.R., Yu, B., Pan, D.Z.: A new lithography hotspot detection framework based on AdaBoost classifier and simplified feature extraction. In: Proceedings of SPIE, vol. 9427 (2015)

    Google Scholar 

  29. Zhang, H., Yu, B., Young, E.F.Y.: Enabling online learning in lithography hotspot detection with information-theoretic feature optimization. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 47:1–47:8 (2016)

    Google Scholar 

  30. Yu, Y.T., Lin, G.H., Jiang, I.H.R., Chiang, C.: Machine-learning-based hotspot detection using topological classification and critical feature extraction. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 34(3), 460–470 (2015)

    Google Scholar 

  31. Yang, H., Su, J., Zou, Y., Ma, Y., Yu, B., Young, E.F.Y.: Layout hotspot detection with feature tensor generation and deep biased learning. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 38(6), 1175–1187 (2019)

    Google Scholar 

  32. Jiang, Y., Yang, F., Zhu, H., Yu, B., Zhou, D., Zeng, X.: Efficient layout hotspot detection via binarized residual neural network. In: ACM/IEEE Design Automation Conference (DAC), pp. 1–6. IEEE, New York (2019)

    Google Scholar 

  33. Zhu, B., Chen, R., Zhang, X., Yang, F., Zeng, X., Yu, B., Wong, M.D.: Hotspot detection via multi-task learning and transformer encoder. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–8 (2021)

    Google Scholar 

  34. Geng, H., Yang, H., Zhang, L., Miao, J., Yang, F., Zeng, X., Yu, B.: Hotspot detection via attention-based deep layout metric learning. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 41(8), 2685–2698 (2021)

    Google Scholar 

  35. Chen, R., Zhong, W., Yang, H., Geng, H., Zeng, X., Yu, B.: Faster region-based hotspot detection. In: ACM/IEEE Design Automation Conference (DAC) (2019)

    Google Scholar 

  36. Chen, Y., Lin, Y., Gai, T., Su, Y., Wei, Y., Pan, D.Z.: Semi-supervised hotspot detection with self-paced multi-task learning. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 39(7), 1511–1523 (2019)

    Google Scholar 

  37. Zhang, H., Zhu, F., Li, H., Young, E.F.Y., Yu, B.: Bilinear lithography hotspot detection. In: ACM International Symposium on Physical Design (ISPD), pp. 7–14 (2017)

    Google Scholar 

  38. Yang, F., Sinha, S., Chiang, C.C., Zeng, X., Zhou, D.: Improved tangent space based distance metric for lithographic hotspot classification. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 36(9), 1545–1556 (2017)

    Google Scholar 

  39. Shin, M., Lee, J.H.: Accurate lithography hotspot detection using deep convolutional neural networks. J. Micro/Nanolithogr. MEMS MOEMS (JM3) 15(4), 043507 (2016)

    Google Scholar 

  40. Yang, H., Luo, L., Su, J., Lin, C., Yu, B.: Imbalance aware lithography hotspot detection: a deep learning approach. J. Micro/Nanolithogr. MEMS MOEMS (JM3) 16(3), 033504 (2017)

    Google Scholar 

  41. Wallace, G.K.: The JPEG still picture compression standard. IEEE Trans. Consum. Electron. (TCE) 38(1), xviii–xxxiv (1992)

    Google Scholar 

  42. Yang, H., Luo, L., Su, J., Lin, C., Yu, B.: Imbalance aware lithography hotspot detection: A deep learning approach. In: SPIE Advanced Lithography, vol. 10148 (2017)

    Google Scholar 

  43. Yang, H., Lin, Y., Yu, B., Young, E.F.Y.: Lithography hotspot detection: From shallow to deep learning. In: IEEE International System-on-Chip Conference (SOCC), pp. 233–238 (2017)

    Google Scholar 

  44. Szegedy, C., Vanhoucke, V., Ioffe, S., Shlens, J., Wojna, Z.: Rethinking the inception architecture for computer vision. In: IEEE Conference on Computer Vision and Pattern Recognition (CVPR), pp. 2818–2826 (2016)

    Google Scholar 

  45. Krizhevsky, A., Sutskever, I., Hinton, G.E.: ImageNet classification with deep convolutional neural networks. In: Conference on Neural Information Processing Systems (NIPS), pp. 1097–1105 (2012)

    Google Scholar 

  46. Ren, S., He, K., Girshick, R., Sun, J.: Faster R-CNN: Towards real-time object detection with region proposal networks. In: Conference on Neural Information Processing Systems (NIPS), pp. 91–99 (2015)

    Google Scholar 

  47. Liu, W., Anguelov, D., Erhan, D., Szegedy, C., Reed, S., Fu, C.Y., Berg, A.C.: SSD: Single shot multibox detector. In: European Conference on Computer Vision (ECCV), pp. 21–37 (2016)

    Google Scholar 

  48. Song, Z., Ma, X., Gao, J., Wang, J., Li, Y., Arce, G.R.: Inverse lithography source optimization via compressive sensing. Opt. Express 22(12), 14180–14198 (2014)

    Article  Google Scholar 

  49. Erdmann, A., Fuehner, T., Schnattinger, T., Tollkuehn, B.: Toward automatic mask and source optimization for optical lithography. In: Optical Microlithography XVII, vol. 5377, pp. 646–657. International Society for Optics and Photonics, Washington (2004)

    Google Scholar 

  50. Yu, P., Shi, S.X., Pan, D.Z.: Process variation aware OPC with variational lithography modeling. In: ACM/IEEE Design Automation Conference (DAC), pp. 785–790 (2006)

    Google Scholar 

  51. Alawieh, M.B., Lin, Y., Zhang, Z., Li, M., Huang, Q., Pan, D.Z.: GAN-SRAF: Sub-resolution assist feature generation using conditional generative adversarial networks. In: ACM/IEEE Design Automation Conference (DAC), pp. 1–6 (2019)

    Google Scholar 

  52. Hu, S., Hu, J.: Pattern sensitive placement for manufacturability. In: ACM International Symposium on Physical Design (ISPD), pp. 27–34 (2007)

    Google Scholar 

  53. Matsunawa, T., Yu, B., Pan, D.Z.: Optical proximity correction with hierarchical bayes model. J. Micro/Nanolithogr. MEMS MOEMS (JM3) 15(2), 021009 (2016)

    Google Scholar 

  54. Yang, H., Li, S., Deng, Z., Ma, Y., Yu, B., Young, E.F.: GAN-OPC: Mask optimization with lithography-guided generative adversarial nets. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 39(10), 2822–2834 (2019)

    Google Scholar 

  55. Jiang, B., Zhang, H., Yang, J., Young, E.F.: A fast machine learning-based mask printability predictor for OPC acceleration. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 412–419 (2019)

    Google Scholar 

  56. Matsunawa, T., Yu, B., Pan, D.Z.: Optical proximity correction with hierarchical bayes model. In: Proceedings of SPIE, vol. 9426 (2015)

    Google Scholar 

  57. Gangeh, M.J., Farahat, A.K., Ghodsi, A., Kamel, M.S.: Supervised dictionary learning and sparse representation-a review. arXiv preprint arXiv:1502.05928 (2015)

    Google Scholar 

  58. Tibshirani, R.: Regression shrinkage and selection via the Lasso. J. R. Stat. Soc. Ser. B 58, 267–288 (1996)

    MathSciNet  MATH  Google Scholar 

  59. Friedman, J., Hastie, T., Tibshirani, R.: Regularization paths for generalized linear models via coordinate descent. J. Stat. Softw. 33(1), 1 (2010)

    Article  Google Scholar 

  60. Banerjee, S., Li, Z., Nassif, S.R.: ICCAD-2013 CAD contest in mask optimization and benchmark suite. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 271–274 (2013)

    Google Scholar 

  61. Tabery, C., Zou, Y., Arnoux, V., Raghavan, P., Kim, R.h., Côté, M., Mattii, L., Lai, Y.C., Hurat, P.: In-design and signoff lithography physical analysis for 7/5nm. In: SPIE Advanced Lithography, vol. 10147 (2017)

    Google Scholar 

  62. Yang, H., Pathak, P., Gennari, F., Lai, Y.C., Yu, B.: Detecting multi-layer layout hotspots with adaptive squish patterns. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 299–304 (2019)

    Google Scholar 

  63. Yang, H., Li, S., Tabery, C., Lin, B., Yu, B.: Bridging the gap between layout pattern sampling and hotspot detection via batch active sampling. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 40(7), 1464–1475 (2020)

    Google Scholar 

  64. Yang, H., Pathak, P., Gennari, F., Lai, Y.C., Yu, B.: Deepattern: Layout pattern generation with transforming convolutional auto-encoder. In: ACM/IEEE Design Automation Conference (DAC), pp. 1–6 (2019)

    Google Scholar 

  65. Zhang, X., Shiely, J., Young, E.F.: Layout pattern generation and legalization with generative learning models. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–9. IEEE, New York (2020)

    Google Scholar 

  66. Zhang, X., Yang, H., Young, E.F.: Attentional transfer is all you need: Technology-aware layout pattern generation. In: ACM/IEEE Design Automation Conference (DAC), pp. 169–174. IEEE, New York (2021)

    Google Scholar 

  67. Hinton, G.E., Krizhevsky, A., Wang, S.D.: Transforming auto-encoders. In: International Conference on Artificial Neural Networks (ICANN), pp. 44–51 (2011)

    Google Scholar 

  68. Dumoulin, V., Visin, F.: A guide to convolution arithmetic for deep learning. arXiv preprint arXiv:1603.07285 (2018)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Haoyu Yang .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2022 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Yang, H., Lin, Y., Yu, B. (2022). Machine Learning for Mask Synthesis and Verification. In: Ren, H., Hu, J. (eds) Machine Learning Applications in Electronic Design Automation. Springer, Cham. https://doi.org/10.1007/978-3-031-13074-8_15

Download citation

Publish with us

Policies and ethics