Skip to main content
  • 1244 Accesses

Abstract

The appendix of the book first reviews the promise and challenges for machine learning (ML) in physical design and illustrates benefits that can be achieved in terms of schedule and quality of results, as demonstrated in recent publications (Sect. 9.1). This first part of the appendix identifies a number of useful surveys and reviews ML-based methods that can be applied to tasks addressed in the preceding chapters of the book. Section 9.2 presents detailed solutions to the exercises of Chaps. 28. Finally, Sect. 9.3 depicts layout examples of typical CMOS-library cells, such as the inverter, buffer, NAND and NOR gates, and an AND-OR-Invert gate.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 16.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 99.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. A. B. Kahng, “Machine Learning Applications in Physical Design: Recent Results and Directions", Proc. Int. Symp. on Physical Design, 2018, pp.68-73. https://doi.org/10.1145/3177540.3177554

  2. A. B. Kahng, “New directions for Learning-Based IC Design Tools and Methodologies”, Proc. Asia and South Pacific Design Automation Conf., 2018, pp. 405-410. https://doi.org/10.1109/ASPDAC.2018.8297357

  3. B. Yu, D. Z. Pan, T. Matsunawa and X. Zeng, “Machine Learning and Pattern Matching in Physical Design”, Proc. Asia and South Pacific Design Automation Conf., 2015, pp. 286-293. https://doi.org/10.1109/ASPDAC.2015.7059020

  4. G. Huang, et al., “Machine Learning for Electronic Design Automation: A Survey”, ACM Trans. on Design Automation of Electronic Systems 26(5) (2021), pp. 40:1-40:46. https://doi.org/10.1145/3451179

    Article  Google Scholar 

  5. M. Pandey, “Machine Learning and Systems for Building the Next Generation of EDA Tools”, Proc. Asia and South Pacific Design Automation Conf., 2018, pp. 411-415. https://doi.org/10.1109/ASPDAC.2018.8297358

  6. M. Rapp, H. Amrouch, Y. Lin, B. Yu, D. Z. Pan, M. Wolf and J. Henkel, “MLCAD: A Survey of Research in Machine Learning for CAD”, IEEE Trans. on -CAD of Integrated Circuits and Systems, 2021. https://doi.org/10.1109/TCAD.2021.3124762

    Book  Google Scholar 

  7. A. B. Kahng, “The ITRS Design Technology and System Drivers Roadmap: Process and Status” Proc. Design Autom. Conf., 2013, pp. 34-39. https://doi.org/10.1145/2463209.2488776

  8. A. Agnesina, K. Chang and S. K. Lim, “VLSI Placement Parameter Optimization using Deep Reinforcement Learning”, Proc. Int. Conf. on CAD, 2020, pp. 1-9. https://doi.org/10.1145/3400302.3415690

  9. E. C. Barboza, N. Shukla, Y. Chen and J. Hu, “Machine Learning-Based Pre-Routing Timing Prediction with Reduced Pessimism”, Proc. Design Autom. Conf., 2019, pp. 1-6. https://doi.org/10.1145/3316781.3317857

  10. W.-T. J. Chan, P. H. Ho, A. B. Kahng and P. Saxena, “Routability Optimization for Industrial Designs at Sub-14nm Process Nodes using Machine Learning”, Proc. Int. Symp. on Physical Design, 2017, pp. 15-21. https://doi.org/10.1145/3036669.3036681

  11. V. A. Chhabria, A. B. Kahng, M. Kim, U. Mallappa, S. S. Sapatnekar, B. Xu, “Template-based PDN Synthesis in Floorplan and Placement Using Classifier and CNN Techniques”, Proc. Asia and South Pacific Design Automation Conf., 2020, pp. 44-49. https://doi.org/10.1109/ASP-DAC47756.2020.9045303

  12. U. Gandhi, I. Bustany, W. Swartz and L. Behjat, “A Reinforcement Learning-Based Framework for Solving Physical Design Routing Problem in the Absence of Large Test Sets”, Proc. Workshop on Machine Learning for CAD, 2019, pp. 1-6. https://doi.org/10.1109/MLCAD48534.2019.9142109

  13. J. Jung, A. B. Kahng, S. Kim and R. Varadarajan, “METRICS2.1 and Flow Tuning in the IEEE CEDA Robust Design Flow and OpenROAD”, Proc. Int. Conf. on CAD, 2021. https://doi.org/10.1109/ICCAD51958.2021.9643541

  14. S. Koh, Y. Kwon and Y. Shin, “Pre-Layout Clock Tree Estimation and Optimization Using Artificial Neural Network”, Proc. Int. Symp. on Low Power Electronics and Design, 2020, pp. 193-198. https://doi.org/10.1145/3370748.3406584

  15. Y. Lin, Z. Jiang, J. Gu, W. Li, S. Dhar, H. Ren, B. Khailany and D. Z. Pan, “DREAMPlace: Deep Learning Toolkit-Enabled GPU Acceleration for Modern VLSI Placement”, IEEE Trans. on CAD of Integrated Circuits and Systems 40(4) (2021), pp. 748-761. https://doi.org/10.1109/TCAD.2020.3003843

    Article  Google Scholar 

  16. Y.-C. Lu, J. Lee, A. Agnesina, K. Samadi and S. K. Lim, “GAN-CTS: A Generative Adversarial Framework for Clock Tree Prediction and Optimization”, Proc. Int. Conf. on CAD, 2019, pp. 1-8. https://doi.org/10.1109/ICCAD45719.2019.8942063

  17. Y.-C. Lu, S. Pentapati and S. K. Lim, “The Law of Attraction: Affinity-Aware Placement Optimization using Graph Neural Networks”, Proc. Int. Symp. on Physical Design, 2021, pp. 7-14. https://doi.org/10.1145/3439706.3447045

  18. A. Mirhoseini, et al., “A Graph Placement Methodology for Fast Chip Design", Nature, 594 (2021), pp. 207-212. https://doi.org/10.1038/s41586-021-03544-w

    Article  Google Scholar 

  19. Z. Xie, Y.-H. Huang, G.-Q. Fang, H. Ren, S.-Y. Fang, Y. Chen and J. Hu, “RouteNet: Routability Prediction for Mixed-Size Designs Using Convolutional Neural Network”, Proc. Int. Conf. on CAD, 2018, pp. 1-8. https://doi.org/10.1145/3240765.3240843

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2022 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Kahng, A.B., Lienig, J., Markov, I.L., Hu, J. (2022). Appendix. In: VLSI Physical Design: From Graph Partitioning to Timing Closure. Springer, Cham. https://doi.org/10.1007/978-3-030-96415-3_9

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-96415-3_9

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-96414-6

  • Online ISBN: 978-3-030-96415-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics