Skip to main content
  • 1290 Accesses

Abstract

After partitioning the circuit into smaller modules (Chap. 2) and floorplanning the layout to determine the outlines and positions of blocks and their pin locations (Chap. 3), placement seeks to determine the locations of (standard) cells or logic elements within each block. Placement is subject to multiple optimization objectives, a common one being the minimization of the total length of connections between elements. Global placement (Sect. 4.3) assigns general locations to movable objects, which is then followed by detailed placement (Sect. 4.4), which refines object locations to legal cell sites and enforces nonoverlapping constraints.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 16.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 99.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    Timing-driven placement techniques are discussed in Chap. 8 (Sect. 8.3).

  2. 2.

    Such an edge represents the border between two regions (e.g., switchboxes or channels) or between two cutlines.

  3. 3.

    simPL uses FastPlace-DP [22] for both legalization and detailed placement.

References

  1. M. Breuer, “Min-Cut Placement”, J. Design Autom. and Fault-Tolerant Computing 10 (1977), pp. 343-382. Also at: http://limsk.ece.gatech.edu/book/papers/breuer.pdf. Accessed 1 Jan 2022

  2. A. E. Caldwell, A. B. Kahng and I. L. Markov, “Can Recursive Bisection Alone Produce Routable Placements?”, Proc. Design Autom. Conf., 2000, pp. 477-482. https://doi.org/10.1145/337292.337549

  3. A. E. Caldwell, A. B. Kahng and I. L. Markov, “Optimal Partitioners and End-Case Placers for Standard-Cell Layout”, IEEE Trans. on CAD 19(11) (2000), pp. 1304-1313. https://doi.org/10.1109/43.892854

    Article  Google Scholar 

  4. S. Osmolovskyi, J. Knechtel, I.L. Markov and J. Lienig "Optimal Die Placement for Interposer-Based 3D ICs," Proc. Asia and South Pacific Design Autom. Conf., 2018, pp. 513-520. https://doi.org/10.1109/ASPDAC.2018.8297375

  5. T. F. Chan, J. Cong, J. R. Shinnerl, K. Sze and M. Xie, “mPL6: Enhanced Multilevel Mixed-Size Placement”, Proc. Int. Symp. on Physical Design, 2006, pp. 212-221. https://doi.org/10.1145/1123008.1123055

  6. H. Chen, C.-K. Cheng, N.-C. Chou, A. B. Kahng, J. F. MacDonald, P. Suaris, B. Yao and Z. Zhu, “An Algebraic Multigrid Solver for Analytical Placement with Layout Based Clustering”, Proc. Design Autom. Conf., 2003, pp. 794-799. https://doi.org/10.1145/775832.776034

  7. J. Cong, J. R. Shinnerl, M. Xie, T. Kong and X. Yuan, “Large-Scale Circuit Placement”, ACM Trans. on Design Autom. of Electronic Sys. 10(2) (2005), pp. 389-430. https://doi.org/10.1145/1059876.1059886

    Article  Google Scholar 

  8. J. Cong and M. Xie, “A Robust Detailed Placement for Mixed-Size IC Designs”, Proc. Asia and South Pacific Design Autom. Conf., 2006, pp. 188-194. https://doi.org/10.1145/1118299.1118353

  9. A. E. Dunlop and B. W. Kernighan, “A Procedure for Placement of Standard-Cell VLSI Circuits”, IEEE Trans. on CAD 4(1) (1985), pp. 92-98. https://doi.org/10.1109/TCAD.1985.1270101

  10. Xueyan Wang;Yici Cai;Qiang Zhou, “Cell Spreading Optimization for Force-directed Global Placers”, Proc. 2017 IEEE Int. Symp. on Circuits and Systems (ISCAS), 2017. https://doi.org/10.1109/ISCAS.2017.8050572

  11. D. Hill, Method and System for High Speed Detailed Placement of Cells Within an Integrated Circuit Design, U.S. Patent 6370673, 2001.

    Google Scholar 

  12. B. Hu, Y. Zeng and M. Marek-Sadowska, “mFAR: Fixed-Points-Addition-Based VLSI Placement Algorithm”, Proc. Int. Symp. on Physical Design, 2005, pp. 239-241. https://doi.org/10.1145/1055137.1055189

  13. A. B. Kahng, I. L. Markov and S. Reda, “On Legalization of Row-Based Placements”, Proc. Great Lakes Symp. on VLSI, 2004, pp. 214-219. https://doi.org/10.1145/988952.989004

  14. A. B. Kahng, P. Tucker and A. Zelikovsky, “Optimization of Linear Placements for Wirelength Minimization with Free Sites”, Proc. Asia and South Pacific Design Autom. Conf., 1999, pp. 241-244. https://doi.org/10.1109/ASPDAC.1999.760005

  15. A. B. Kahng and Q. Wang, “Implementation and Extensibility of an Analytic Placer”, IEEE Trans. on CAD 24(5) (2005), pp. 734-747. https://doi.org/10.1145/981066.981071

    Article  Google Scholar 

  16. A. B. Kahng and Q. Wang, “A Faster Implementation of APlace”, Proc. Int. Symp. on Physical Design, 2006, pp. 218-220. https://doi.org/10.1145/1123008.1123057

  17. M.-C. Kim, D.-J. Lee and I. L. Markov, “simPL: An Effective Placement Algorithm”, IEEE Trans. on CAD of Integrated Circuits and Systems, vol. 31 (1), pp. 50-60, 2012. https://doi.org/10.1109/TCAD.2011.2170567

    Article  Google Scholar 

  18. J. B. Kruskal, “On the Shortest Spanning Subtree of a Graph and the Traveling Salesman Problem”, Proc. Amer. Math. Soc. 7(1) (1956), pp. 8-50. https://doi.org/10.2307/2033241

    Article  MathSciNet  MATH  Google Scholar 

  19. J. K. Lam, An Efficient Simulated Annealing Schedule (Doctoral Dissertation), Yale University, 1988. https://citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.216.3484&rep=rep1&type=pdf Accessed 1 Jan 2022

    Google Scholar 

  20. C. Li, M. Xie, C.-K. Koh, J. Cong and P. H. Madden, “Routability-Driven Placement and White Space Allocation”, IEEE Trans. on CAD 26(5) (2007), pp. 858-871. https://doi.org/10.1109/TCAD.2007.8361580

    Article  Google Scholar 

  21. G.-J. Nam and J. Cong, eds., Modern Circuit Placement: Best Practices and Results, Springer, 2007. https://doi.org/10.1007/978-0-387-68739-1

  22. M. Pan, N. Viswanathan and C. Chu, “An Efficient and Effective Detailed Placement Algorithm”, Proc. Int. Conf. on CAD, 2005, pp. 48-55. https://doi.org/10.1109/ICCAD.2005.1560039

  23. N. R. Quinn, “The Placement Problem as Viewed from the Physics of Classical Mechanics”, Proc. Design Autom. Conf., 1975, pp. 173-178. https://doi.org/10.1145/62882.62887

  24. J. A. Roy and I. L. Markov, “ECO-System: Embracing the Change in Placement,” IEEE Trans. on CAD 26(12) (2007), pp. 2173-2185. https://doi.org/10.1109/TCAD.2007.907271

    Article  Google Scholar 

  25. J. A. Roy, D. A. Papa, S. N. Adya, H. H. Chan, A. N. Ng, J. F. Lu and I. L. Markov, “Capo: Robust and Scalable Open-Source Min-Cut Floorplacer”, Proc. Int. Symp. on Physical Design, 2005, pp. 224-226, https://doi.org/10.1145/1055137.1055184

  26. Y. Saad, Iterative Methods for Sparse Linear Systems, Soc. of Industrial and App. Math., 2003. https://doi.org/10.1137/1.9780898718003

  27. C. Sechen, “Chip-Planning, Placement and Global Routing of Macro/Custom Cell Integrated Circuits Using Simulated Annealing”, Proc. Design Autom. Conf., 1988, pp. 73-80. https://doi.org/10.1109/DAC.1988.14737

  28. C. Sechen and A. Sangiovanni-Vincentelli, “TimberWolf 3.2: A New Standard Cell Placement and Global Routing Package”, Proc. Design Autom. Conf., 1986, pp. 432-439. https://doi.org/10.1109/DAC.1986.1586125

  29. K. Shahookar and P. Mazumder, “VLSI Cell Placement Techniques”, ACM Computing Surveys 23(2) (1991), pp. 143-220. https://doi.org/10.1145/103724.103725

    Article  Google Scholar 

  30. P. Spindler and F. M. Johannes, “Fast and Accurate Routing Demand Estimation for Efficient Routability- Driven Placement”, Proc. Design, Autom. and Test in Europe, 2007, pp. 1226-1231. https://doi.org/10.1109/DATE.2007.364463

  31. P. Spindler, U. Schlichtmann and F. M. Johannes, “Kraftwerk2 – A Fast Force-Directed Quadratic Placement Approach Using an Accurate Net Model”, IEEE Trans. on CAD 27(8) (2008), pp. 1398-1411. https://doi.org/10.1109/TCAD.2008.925783

    Article  Google Scholar 

  32. N. Viswanathan, M. Pan and C. Chu, “FastPlace 3.0: A Fast Multi-level Quadratic Placement Algorithm with Placement Congestion Control”, Proc. Asia and South Pacific Design Autom. Conf., 2007, pp. 135-140. https://doi.org/10.1109/ASPDAC.2007.357975

  33. Z. Xiu and R. A. Rutenbar, “Mixed-Size Placement with Fixed Macrocells Using Grid-Warping”, Proc. Int. Symp. on Physical Design, 2007, pp. 103-110. https://doi.org/10.1145/1231996.1232019

  34. C.-K. Cheng, A. B. Kahng, I. Kang and L. Wang, "RePlAce: Advancing Solution Quality and Routability Validation in Global Placement", IEEE Trans. on CAD, 38(9) (2019), pp. 1717-1730. https://doi.org/10.1109/TCAD.2018.2859220

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Exercises

Exercises

Exercise 1: Estimating Total Wirelength

Consider the five-pin net with pins ae (right). Each grid edge has unit length.

(a) Draw a rectilinear minimum-length chain, a rectilinear minimum spanning tree (RMST), and a rectilinear Steiner minimum tree (RSMT) to connect all pins.

(b) Find the weighted total wirelength using each estimation technique from (a) if each grid edge has weight = 2.

Exercise 2: Min-Cut Placement

Perform min-cut placement to place gates ag on a 2 × 4 grid. Use the Kernighan–Lin algorithm for partitioning. Use alternating (horizontal and vertical) cutlines. The cutline cut1 represents the initial vertical cut. Each edge on the grid has capacity σP(e) = 2. Estimate whether the placement is routable.

Exercise 3: Force-Directed Placement

A circuit with two gates a and b and three I/O pads In1 (0,2), In2 (0,0), and Out (2,1) is given (left). The weights of the connections are shown below. Calculate the ZFT positions of the two gates. Place the circuit on a 3 × 3 grid (right).

figure aq

Exercise 4: Global and Detailed Placement

What are the main differences between global and detailed placement? Explain why the global and detailed placement steps are performed separately. Explain why detailed placement follows global placement.

Rights and permissions

Reprints and permissions

Copyright information

© 2022 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Kahng, A.B., Lienig, J., Markov, I.L., Hu, J. (2022). Global and Detailed Placement. In: VLSI Physical Design: From Graph Partitioning to Timing Closure. Springer, Cham. https://doi.org/10.1007/978-3-030-96415-3_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-96415-3_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-96414-6

  • Online ISBN: 978-3-030-96415-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics