Skip to main content
  • 1271 Accesses

Abstract

Chapter 3 is dedicated to chip planning, which includes floorplanning, pin assignment (I/O assignment), and power-ground planning. Floorplanning (Sects. 3.13.5) determines the locations and dimensions of the shapes that are the result of partitioning the entire circuit (Chap. 2). Hence, floorplanning produces assigned blocks and enables early estimates of interconnect length, circuit delay, and chip performance. Pin assignment (Sect. 3.6) assigns outgoing signal nets to block pins. Pin assignment directly influences the quality of the floorplan, especially the wiring length. Therefore, floorplanning and pin assignment are generally closely coupled or even combined as a single step. Finally, power planning (Sect. 3.7) builds the power supply network, i.e., power and ground nets, so as to ensure that each block is provided with appropriate supply voltage.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 16.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 99.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    A supply I/O pad can deliver tens of milliamperes of current, while a supply bump can deliver hundreds of milliamperes, i.e., an order of magnitude more current.

  2. 2.

    Some design manuals will refer to an IR drop limit of 10% of VDD. This means that the supply can drop (droop) by 5% of VDD and the ground can bounce by 5% as well, resulting in a worst case of 10% supply reduction.

References

  1. C. J. Alpert, D. P. Mehta and S. S. Sapatnekar, eds., Handbook of Algorithms for Physical Design Automation, Auerbach Publ., 2008, 2019. ISBN 978-0367403478

    Google Scholar 

  2. H. N. Brady, “An Approach to Topological Pin Assignment”, IEEE Trans. on CAD 3(3) (1984), pp. 250-255. https://doi.org/10.1109/TCAD.1984.1270082

  3. T.-C. Chen and Y.-W. Chang, “Modern Floorplanning Based on B*-Tree and Fast Simulated Annealing”, IEEE Trans. on CAD 25(4) (2006), pp. 637-650. https://doi.org/10.1109/TCAD.2006.870076

  4. R. Fischbach, J. Knechtel, J. Lienig, “Utilizing 2D and 3D Rectilinear Blocks for Efficient IP Reuse and Floorplanning of 3D-Integrated Systems”, Proc. Intern. Symp. on Physical Design, 2013, pp. 11-16. https://doi.org/10.1145/2451916.2451921

  5. S. Kang, “Linear Ordering and Application to Placement”, Proc. Design Autom. Conf., 1983, pp. 457-464. https://doi.org/10.1109/DAC.1983.1585693

  6. S. Kirkpatrick, C. D. Gelatt and M. P. Vecchi, “Optimization by Simulated Annealing”, Science 220(4598) (1983), pp. 671-680. https://doi.org/10.1126/science.220.4598.671

  7. J. Knechtel, J. Lienig, I. M. Elfadel, “Multi-Objective 3D Floorplanning with Integrated Voltage Assignment”, TODAES, vol. 23, no. 2, pp. 22:1-22:27, ISSN 1084-4309, 2017. https://doi.org/10.1145/3149817

  8. N. L. Koren, “Pin Assignment in Automated Printed Circuit Board Design”, Proc. Design Autom. Workshop, 1972, pp. 72-79. https://doi.org/10.1145/800153.804932

  9. M. D. Moffitt, J. A. Roy, I. L. Markov and M. E. Pollack, “Constraint-Driven Floorplan Repair”, ACM Trans. on Design Autom. of Electronic Sys. 13(4) (2008), pp. 1-13. https://doi.org/10.1145/1146909.1147188

  10. R. H. J. M. Otten, “Efficient Floorplan Optimization”, Proc. Int. Conf. on Computer Design, 1983, pp. 499-502. https://doi.org/10.1145/74382.74481

  11. R.H.J.M Otten., L.P.P.P van Ginneken. “Floorplan Design Using Annealing”. In: Kuehlmann A. (eds) The Best of ICCAD, 2003. Springer. https://doi.org/10.1007/978-1-4615-0292-0_37

  12. C. Sechen, “Chip Planning, Placement and Global Routing of Macro/Custom Cell Integrated Circuits Using Simulated Annealing”, Proc. Design Autom. Conf., 1988, pp. 73-80. https://doi.org/10.1109/DAC.1988.14737

  13. L. Stockmeyer, “Optimal Orientation of Cells in Slicing Floorplan Designs”, Information and Control 57 (1983), pp. 91-101. https://doi.org/10.1016/S0019-9958(83)80038-2

  14. X. Tang, R. Tian and D. F. Wong, “Fast Evaluation of Sequence Pair in Block Placement by Longest Common Subsequence Computation”, Proc. Design, Autom. and Test in Europe, 2000, pp. 106-111. https://doi.org/10.1109/DATE.2000.840024

  15. Z. Huang; Z. Lin; Z. Zhu; J. Chen, “An Improved Simulated Annealing Algorithm with Excessive Length Penalty for Fixed-Outline Floorplanning”, IEEE Access (vol. 8), 2020. https://doi.org/10.1109/ACCESS.2020.2980135

  16. J. Z. Yan and C. Chu, “DeFer: Deferred Decision Making Enabled Fixed-Outline Floorplanner”, Proc. Design Autom. Conf., 2008, pp. 161-166. https://doi.org/10.1145/1391469.1391512

  17. T. Yan and H. Murata, “Fast Wire Length Estimation by Net Bundling for Block Placement”, Proc. Int. Conf. on CAD, 2006, pp. 172-178. https://doi.org/10.1109/ICCAD.2006.320082

Download references

Author information

Authors and Affiliations

Authors

Exercises

Exercises

Exercise 1: Slicing Trees and Constraint Graphs

For the given floorplan (right), generate its slicing tree, vertical constraint graph, and horizontal constraint graph.

Exercise 2: Floorplan Sizing Algorithm

Three blocks a, b, and c are given along with their size options.

figure ad
  1. (a)

    Determine the shape functions for each block A, B, and C.

  2. (b)

    Find the minimum area of the top-level floorplan using the given tree structure and determine the shape function of the top-level floorplan. In the shape function, find the corner point that yields the minimal area. Finally, determine the dimensions of each block and draw the resulting floorplan.

figure ae

Exercise 3: Linear Ordering Algorithm

For the given netlist with five blocks ae and six nets N1N6, determine the linear ordering that minimizes the total wirelength. Let the starting block be block a. Place it in the first (leftmost) position. Draw the resulting placement.

Exercise 4: Non-slicing Floorplans

Recall that the smallest non-slicing floorplans with no wasted space exhibit the structure of a clockwise or counterclockwise wheel with five blocks. Draw a non-slicing floorplan with only four blocks ad.

Rights and permissions

Reprints and permissions

Copyright information

© 2022 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Kahng, A.B., Lienig, J., Markov, I.L., Hu, J. (2022). Chip Planning. In: VLSI Physical Design: From Graph Partitioning to Timing Closure. Springer, Cham. https://doi.org/10.1007/978-3-030-96415-3_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-96415-3_3

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-96414-6

  • Online ISBN: 978-3-030-96415-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics