Skip to main content

Reconfigurability for Static Camouflaging

  • Chapter
  • First Online:
The Next Era in Hardware Security

Abstract

Taking inspiration from nature’s chameleons, modern-day circuit designers have adopted integrated circuit camouflaging as an effective technique to fend off the predatory incursions of reverse engineers and intellectual property pirates. However, CMOS-based camouflaging often comes up inadequate in providing security guarantees against state-of-the-art Boolean satisfiability (SAT)-based attacks. This chapter delves into how emerging technologies can help alleviate these vulnerabilities by leveraging their reconfigurability to augment static camouflaging schemes.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 16.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 89.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. L. Amarù, Majority-Inverter Graph (MIG) Benchmark Suite (2015). http://lsi.epfl.ch/MIG

  2. C. Augustine et al., Low-power functionality enhanced computation architecture using spin-based devices, in 2011 IEEE/ACM International Symposium on Nanoscale Architectures (IEEE, Piscataway, 2011), pp. 129–136

    Google Scholar 

  3. Q. Alasad, J. Yuan, D. Fan, Leveraging all-spin logic to improve hardware security, in Proceedings of the on Great Lakes Symposium on VLSI 2017 (2017), pp. 491–494

    Google Scholar 

  4. B. Behin-Aein et al., Proposal for an all-spin logic device with built-in memory. Nat. Nanotechnol. 5(4), 266–270 (2010)

    Article  Google Scholar 

  5. Y. Bi et al., Leveraging emerging technology for hardware security-case study on Silicon Nanowire FETs and Graphene SymFETs, in 2014 IEEE 23rd Asian Test Symposium (IEEE, Piscataway, 2014), pp. 342–347

    Google Scholar 

  6. Y. Bi et al., Emerging technology-based design of primitives for hardware security. J. Emerg. Tech. Comput. Syst. 13(1), 3:1–3:19 (2016). ISSN: 1550-4832. https://doi.org/10.1145/2816818

  7. S. Chen, J. Chen, L. Wang, A chip-level anti-reverse engineering technique, in J. Emerg. Tech. Comput. Syst. 14(2), 29:1–29:20 (2018). ISSN: 1550-4832. https://doi.org/10.1145/3173462

  8. F. Courbon, S. Skorobogatov, C. Woods, Direct charge measurement in floating gate transistors of flash EEPROM using scanning electron microscopy, in Proc. Int. Symp. Test. Failure Analys. (2016), pp. 1–9. https://pdfs.semanticscholar.org/992a/20c0a8bb71642fc44fa65f053b3524113b99.pdf

  9. M. d’Aquino et al., Midpoint numerical technique for stochastic Landau-Lifshitz-Gilbert-dynamics. J. Appl. Phys. 99(8), 08B905 (2006)

    Google Scholar 

  10. S. Datta, S. Salahuddin, B. Behin-Aein, Non-volatile spin switch for Boolean and non-Boolean logic. Appl. Phys. Lett. 101(25), 252411 (2012)

    Google Scholar 

  11. M. El Massad, S. Garg, M.V. Tripunitara, Integrated circuit (IC) decamouflaging: reverse engineering camouflaged ICs within minutes, in NDSS (2015), pp. 1–14

    Google Scholar 

  12. S. Ghosh, Spintronics and security: Prospects, vulnerabilities, attack models, and preventions. Proceedings of the IEEE 104(10), 1864–1893 (2016)

    Article  Google Scholar 

  13. J.E. Hirsch, Spin Hall effect. Phys. Rev. Lett. 83(9), 1834 (1999)

    Google Scholar 

  14. S.-W. Hwang et al., A physically transient form of silicon electronics. Science 337(6102), 1640–1644 (2012). ISSN: 0036-8075. https://doi.org/10.1126/science.1226325. eprint: http://science.sciencemag.org/content/337/6102/1640.full.pdf

  15. Q. Hao, G. Xiao, Giant spin Hall effect and switching induced by spin-transfer torque in a W/Co40Fe40B20/MgO structure with perpendicular magnetic anisotropy. Phys. Rev. Appl. 3(3), 034009 (2015)

    Google Scholar 

  16. K. Huang, R. Zhao, Magnetic domain-wall racetrack memory-based nonvolatile logic for low-power computing and fast run-time-reconfiguration. Trans. VLSI Syst. 24(9), 2861–2872 (2016)

    Article  Google Scholar 

  17. R. Mousavi Iraei et al., Electrical-spin transduction for CMOS-spintronic interface and long-range interconnects. IEEE J. Explor. Solid-State Comput. Dev. Circ. 3, 47–55 (2017). ISSN: 2329-9231. https://doi.org/10.1109/JXCDC.2017.2706671

    Google Scholar 

  18. N. Kani et al., A model study of an error-free magnetization reversal through dipolar coupling in a two-magnet system. IEEE Trans. Magnet. 52(2), 1–12 (2015)

    Article  Google Scholar 

  19. H. Maehara et al., Tunnel magnetoresistance above 170% and resistance–area product of 1 Ω(μm)2 attained by in situ annealing of ultra-thin MgO tunnel barrier. Appl. Phys. Exp. 4(3), 033002 (2011)

    Google Scholar 

  20. S. Manipatruni et al., Scalable energy-efficient magnetoelectric spin–orbit logic. Nature 565(7737), 35–42 (2019)

    Article  Google Scholar 

  21. C. McCants, Trusted Integrated Chips (TIC). Tech. rep. Intelligence Advanced Research Projects Activity (IARPA), 2011

    Google Scholar 

  22. J. Magaña, D. Shi, A. Davoodi, Are proximity attacks a threat to the security of split manufacturing of integrated circuits?, in Proc. Int. Conf. Comp.-Aided Des. Austin, Texas (2016), pp. 90:1–90:7. ISBN: 978-1-4503-4466-1. https://doi.org/10.1145/2966986.2967006

  23. I.R. Nirmala et al., A novel threshold voltage defined switch for circuit camouflaging, in Proc. Europe Test. Symp. (2016), pp. 1–2. https://doi.org/10.1109/ETS.2016.7519286

  24. D.E. Nikonov, I.A. Young, Overview of beyond-CMOS devices and a uniform methodology for their benchmarking. Proc. IEEE 101(12), 2498–2533 (2013)

    Article  Google Scholar 

  25. F. Parveen et al., Hybrid polymorphic logic gate with 5-terminal magnetic domain wall motion device, in Proc. Comp. Soc. Symp. VLSI (2017), pp. 152–157. https://doi.org/10.1109/ISVLSI.2017.35

  26. S. Patnaik et al., Obfuscating the Interconnects: Low-Cost and Resilient Full-Chip Layout Camouflaging, in Proc. Int. Conf. Comp.-Aided Des. (2017), pp. 41–48. https://doi.org/10.1109/ICCAD.2017.8203758

  27. S. Patnaik et al., Advancing hardware security using polymorphic and stochastic spin-Hall effect devices, in 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE) (IEEE, Piscataway, 2018), pp. 97–102

    Google Scholar 

  28. S. Patnaik et al., Concerted wire lifting: enabling secure and cost-effective split manufacturing, in Proc. Asia South Pac. Des. Autom. Conf. (2018), pp. 251–258. https://doi.org/10.1109/ASPDAC.2018.8297314

  29. S. Patnaik et al., Raise your game for split manufacturing: restoring the true functionality through BEOL, in Proc. Des. Autom. Conf. (2018), pp. 140:1–140:6. https://doi.org/10.1145/3195970.3196100

  30. S.E. Quadir et al., A survey on chip to system reverse engineering. J. Emerg. Tech. Comput. Syst. 13(1), 6:1–6:34 (2016). ISSN: 1550-4832. https://doi.org/10.1145/2755563

  31. J. Rajendran et al., Security analysis of integrated circuit camouflaging, in Proc. Comp. Comm. Sec. Berlin, Germany (2013), pp. 709–720. ISBN: 978-1-4503-2477-9. https://doi.org/10.1145/2508859.2516656

  32. J. Rajendran et al., Security analysis of integrated circuit camouflaging, in Proceedings of the 2013 ACM SIGSAC Conference on Computer & Communications Security (2013), pp. 709–720

    Google Scholar 

  33. N. Rangarajan et al., Energy-efficient computing with probabilistic magnetic bits–performance modeling and comparison against probabilistic CMOS logic. IEEE Trans. Magnetics 53(11), 1–10 (2017)

    Article  Google Scholar 

  34. J. Rajendran, O. Sinanoglu, R. Karri, Is split manufacturing secure?, in Proc. Des. Autom. Test Europe (2013), pp. 1259–1264. https://doi.org/10.7873/DATE.2013.261

  35. A. Sengupta et al., Rethinking split manufacturing: an information-theoretic approach with secure layout techniques, in Proc. Int. Conf. Comp.-Aided Des. (2017), pp. 329–336. https://doi.org/10.1109/ICCAD.2017.8203796. Revised version available at https://arxiv.org/abs/1710.02026

  36. P. Subramanyan, S. Ray, S. Malik, Evaluating the security of logic encryption algorithms, in Proc. Int. Symp. Hardw.-Orient. Sec. Trust (2015), pp. 137–143. https://doi.org/10.1109/HST.2015.7140252

  37. P. Subramanyan. Evaluating the Security of Logic Encryption Algorithms (2017). https://bitbucket.org/spramod/host15-logic-encryption

  38. N. Viswanathan et al., The ISPD-2011 routability-driven placement contest and benchmark suite, in Proc. Int. Symp. Phys. Des. (2011), pp. 141–146

    Google Scholar 

  39. A. Wali et al., Satisfiability attack-resistant camouflaged two-dimensional heterostructure devices. ACS Nano 15(2), 3453–3467 (2021)

    Article  Google Scholar 

  40. Y. Wang et al., The cat and mouse in split manufacturing, in Proc. Des. Autom. Conf. Austin, Texas (2016), pp. 165:1–165:6. ISBN: 978-1-4503-4236-0. https://doi.org/10.1145/2897937.2898104

  41. T. Winograd et al., Hybrid STT-CMOS designs for reverse-engineering prevention, in Proc. Des. Autom. Conf. (2016), pp. 88–93

    Google Scholar 

  42. C. Yu et al., Incremental SAT-based reverse engineering of camouflaged logic circuits. Trans. Comput. Aided Des. Integr. Circ. Syst. 36(10), 1647–1659 (2017). ISSN: 0278-0070. https://doi.org/10.1109/TCAD.2017.2652220

    Article  Google Scholar 

  43. Y. Zhang et al., Giant spin Hall effect (GSHE) logic design for low power application, in Proc. Des. Autom. Test Europe (2015), pp. 1000–1005

    Google Scholar 

  44. J. Zhang, A practical logic obfuscation technique for hardware security. Trans. VLSI Syst. 24(3), 1193–1197 (2016). ISSN: 1063-8210. https://doi.org/10.1109/TVLSI.2015.2437996

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2021 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Cite this chapter

Rangarajan, N., Patnaik, S., Knechtel, J., Rakheja, S., Sinanoglu, O. (2021). Reconfigurability for Static Camouflaging. In: The Next Era in Hardware Security. Springer, Cham. https://doi.org/10.1007/978-3-030-85792-9_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-85792-9_2

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-85791-2

  • Online ISBN: 978-3-030-85792-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics