Skip to main content

Advanced Lithography

  • Chapter
  • First Online:
Springer Handbook of Semiconductor Devices

Part of the book series: Springer Handbooks ((SHB))

Abstract

The chapter provides an overview of recent advances in lithography for the production of integrated circuits (ICs). In the first section of the chapter, a brief introduction about lithography basics such as image formation, image resolution in projection systems, and resolution enhancement techniques is provided. In the following section, advanced lithography based on ArF 193 nm deep-UV (DUV) sources is discussed thoroughly, including photoresist requirements and characteristics, plasma etching selectivity, and the multiple patterning techniques commonly adopted for resolution enhancement in these processes. After mentioning some non-optical lithographic methods such as electron beam lithography and nanoimprint lithography, which are mainly employed for mask fabrication or niche applications, a detailed discussion is dedicated to extreme ultraviolet lithography (EUVL), which represents the technology of choice for the future and promises to be able to support a further scaling of ICs’ critical size, as required by Moore’s law. In particular, the evolution of EUVL laser sources based on Sn plasmas over the years is described, and their role in the diffusion of the technology is discussed. The requirements of EUVL resist are also described along with the role of stochastics, which are especially relevant for this technology because of the lower number of photons involved in resist exposure compared to the former DUV technology. In the end of the chapter, the mechanisms related to EUVL resist development are analyzed, including energy deposition, acid generation, and polymer deprotection.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 309.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 399.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Pati, Y.C., Kailath, T.: Phase-shifting masks for microlithography: automated design and mask requirements. J. Opt. Soc. Am. A Opt. Image Sci. Vis. 11, 2438–2452 (1994)

    Article  Google Scholar 

  2. Kunz, R.R., Allen, R.D., Hinsberg, W.D., Wallraf, G.M.: Acid-catalyzed single-layer resists for ArF lithography. Proc. SPIE. 1925, 167–175 (1993)

    Article  Google Scholar 

  3. Rosenbluth, A.E., Bukofsky, S., Hibbs, M., Lai, K., Molless, A., Singh, R.N., Wong, A.: Optimum mask and source patterns to print a given shape. Proc. SPIE. 4346, 486–502 (2001)

    Article  Google Scholar 

  4. Shen, M.-H., Zu, Y.-L., Shu, Q., Wu, Q., Liu, J.: Sub-resolution assist features challenge and solution in 28 nm active area lithography. ECS Trans. 60, 257–262 (2014)

    Article  Google Scholar 

  5. Gokan, H., Esho, S., Ohnishi, Y.: Dry etch resistance of organic materials. J. Electrochem. Soc. 130, 143–146 (1983)

    Article  Google Scholar 

  6. Oyama, K., Yamauchi, S., Natori, S., Hara, A., Yamato, M., Yaegashi, H.: Robust complementary technique with multiple-patterning for sub-10 nm node device. Proc. SPIE. 9051, 90510V (2014)

    Article  Google Scholar 

  7. Darnon, M., Chevolleau, T., Joubert, O., Maitrejean, S., Barbe, J.C., Torres, J.: Undulation of sub-100 nm porous dielectric structures: a mechanical analysis. Appl. Phys. Lett. 91, 193103 (2007)

    Article  Google Scholar 

  8. Owa, S., Wakamoto, S., Murayama, M., Yaegashi, H., Oyama, K.: Immersion lithography extension to sub-10 nm nodes with multiple patterning. Proc. SPIE. 9052, 90520O (2014)

    Article  Google Scholar 

  9. Granik, Y., Cobb, N.: New process models for OPC at sub-90nm nodes. Proc. SPIE. 5040 II, 1166–1175 (2003)

    Article  Google Scholar 

  10. Lee, S., Byers, J., Jen, K., Zimmerman, P., Rice, B., Turro, N.J., Willson, C.G.: An analysis of double exposure lithography options. Proc. SPIE. 6924, 69242A (2008)

    Article  Google Scholar 

  11. Reilly, M., Bae, Y.C., Vohra, V.: Evolution of thermal cure resist for double patterning applications. Proc. SPIE. 7639, 76392W (2010)

    Article  Google Scholar 

  12. Ooki, H., Coon, P., Owa, S., Sei, T., Okamoto, K.: Experimental study on non-linear multiple exposure method. Proc. SPIE. 3051, 85–93 (1997)

    Article  Google Scholar 

  13. Wakamatsu, G., Anno, Y., Hori, M., Kakizawa, T., Mita, M., Hoshiko, K., Shioya, T., Fujiwara, K., Kusumoto, S., Yamaguchi, Y., Shimokawa, T.: Double patterning process with freezing technique. Proc. SPIE. 7273, 72730B (2009)

    Article  Google Scholar 

  14. Fujisawa, T., Anno, Y., Hori, M., Wakamatsu, G., Mita, M., Ito, K., Tanaka, H., Hoshiko, K., Shioya, T., Goto, K., Ogawa, Y., Takikawa, H., Kozuma, Y., Fujiwara, K., Sugiura, M., Yamaguchi, Y., Shimokawa, T.: Simplified double patterning process with non-topcoat self-freezing resist. Proc. SPIE. 7639, 76392Y (2010)

    Article  Google Scholar 

  15. Carlson, A., Liu, T.-J.K.: Negative and iterated spacer lithography processes for low variability and ultra-dense integration. Proc. SPIE. 6924, 69240B (2008)

    Article  Google Scholar 

  16. McCord, M.A.: Electron beam lithography for 0.13 um manufacturing. J. Vac. Sci. Technol. B. 15, 2125–2129 (1997)

    Article  Google Scholar 

  17. Servin, I., Thiam, N., Pimenta-Barros, P., Pourteau, M.-L., Mebiene, A.-P., Jussot, J., Pradelles, J., Essomba, P., Lattard, L., Brandt, P., Wieland, M.: Ready for multi-beam exposure at 5 kV on MAPPER tool: lithographic & process integration performances of advanced resists/stack. Proc. SPIE. 9423, 94231C (2015)

    Google Scholar 

  18. Takeishi, H., Sreenivasan, S.V.: Nanoimprint system development and status for high volume semiconductor manufacturing. Proc. SPIE. 9423, 1014405 (2015)

    Google Scholar 

  19. Krysak, M.E., Blackwell, J.M., Putna, S.E., Leeson, M., Younkin, T.R., Harlson, S., Frasure, K., Gstrein, F.: Investigation of novel inorganic resists materials for EUV lithography. Proc. SPIE. 9048, 904805 (2014)

    Article  Google Scholar 

  20. Mallik, A., Horiguchi, N., Bömmels, J., Thean, A., Barla, K., Vandenberghe, G., Ronse, K., Ryckaert, J., Mercha, A., Laith, A., Verkest, D., Steegen, A.: The economic impact of EUV lithography on critical process modules. Proc. SPIE. 9048, 90481R (2014)

    Article  Google Scholar 

  21. Silfvast, W.T., Wood, O.R.: Tenth micron lithography with a 10 Hz 37.2 nm sodium laser. Microelectr. Eng. 8, 3–11 (1988)

    Article  Google Scholar 

  22. Hawryluk, A.M., Seppala, L.G.: Soft x-ray projection lithography using an x-ray reduction camera. J. Vac. Sci. Technol. B. 6, 2162 (1988)

    Article  Google Scholar 

  23. Yildirim, O., Buitrago, E., Hoefnagels, R., Meeuwissen, M., Wuister, S., Rispens, G., Van Oosten, A., Derks, P., Finders, J., Vockenhuber, M., Ekinci, Y.: Improvements in resist performance towards EUV HVM. Proc. SPIE. 10143, 101430Q (2017)

    Article  Google Scholar 

  24. Borodovsky, Y.: EUV Lithography at Insertion and Beyond. International Workshop on EUV Lithography, Maui, HI (2012)

    Google Scholar 

  25. Kozawa, T., Tagawa, S.: Radiation chemistry in chemically amplified resists. Jpn. J. Appl. Phys. 49, 030001–030019 (2010)

    Article  Google Scholar 

  26. Biafore, J.J., Smith, M.D.: Application of stochastic modeling to resist optimization problems. Proc. SPIE. 8325, 83250H (2012)

    Article  Google Scholar 

  27. Torok, J., Del Re, R., Herbol, H., Das, S., Bocharova, I., Paolucci, A., Ocola, L.E., Ventrice Jr., B.C., Lifshin, E., Denbeaux, G., Brainard, R.L.: Secondary electrons in EUV lithography. J. Photopolym. Sci. Technol. 26, 625–634 (2013)

    Article  Google Scholar 

  28. De Schepper, P., Pret, A.V., Hansen, T., Giglia, A., Hoshiko, K., Mani, A., Biafore, J.J.: XAS photoresists electron/quantum yields study with synchrotron light. Proc. SPIE. 9425, 942507 (2015)

    Article  Google Scholar 

  29. Lio, A., Schafgans, A., Brandt, D.: EUV photoresists: a progress report and future prospects. Synchrotron Radiat. News. 32, 9–14 (2019)

    Article  Google Scholar 

  30. Willson, G., Miller, R., McKean, D., Clecak, N., Tompkins, T., Hofer, D., Michl, J., Downing, J.: Design of a positive resist for projection lithography in the mid-UV. Polym. Eng. Sci. 23, 1004–1011 (1983)

    Article  Google Scholar 

  31. Bakshi, V. (ed.): EUV Sources for Lithography. SPIE, Bellingham, WA (2006)

    Google Scholar 

  32. Fomenkov, I., Schafgans, A., Brandt, D.: Laser-produced plasma sources for high-volume-manufacturing EUV lithography. Synchrotron Radiat. News. 32, 3–8 (2019)

    Article  Google Scholar 

  33. Levenson, M.D., Viswanathan, N.S., Simpson, R.A.: Improving resolution in photolithography with a phase-shifting mask. IEEE Trans. Electr. Dev. 29, 1828–1836 (1982)

    Article  Google Scholar 

  34. Shigaki, T., Okamoto, K., Kozawa, T., Yamamoto, H., Tagawa, S.: Dependence of acid yield on chemically amplified electron beam resist thickness. Jpn. J. Appl. Phys. 45, 5445–5449 (2006)

    Article  Google Scholar 

  35. Kozawa, T., Okamoto, K., Nakamura, J., Tagawa, S.: Feasibility study on high-sensitivity chemically amplified resist by polymer absorption enhancement in extreme ultraviolet lithography. Appl. Phys. Express. 1, 0670121–0670123 (2008)

    Article  Google Scholar 

  36. Kozawa, T., Shigaki, T., Okamoto, K., Saeki, A., Tagawa, S., Kai, T., Shimokawa, T.: Analysis of acid yield generated in chemically amplified electron beam resist. J. Vac. Sci. Technol. B. 24, 3055–3060 (2006)

    Article  Google Scholar 

  37. Kozawa, T., Okamoto, K., Saeki, A., TagawA, S.: Difference of spur distribution in chemically amplified resists upon exposure to electron beam and extreme ultraviolet radiation. Jpn. J. Appl. Phys. 48, 0565081–0565084 (2009)

    Google Scholar 

  38. Yamamoto, H., Kozawa, T., Tagawa, S., Cao, H.B., Deng, H., Leeson, M.J.: Polymer-structure dependence of acid generation in chemically amplified extreme ultraviolet resists. Jpn. J. Appl. Phys. 46, L142–L144 (2007)

    Article  Google Scholar 

  39. Kozawa, T., Saeki, A., Tagawa, S.: Modeling and simulation of chemically amplified electron beam, x-ray, and EUV resist processes. J. Vac. Sci. Technol. B. 22, 3489–3492 (2004)

    Article  Google Scholar 

  40. Kozawa, T., Tagawa, S., Shell, M.: Resolution degradation caused by multispur effect in chemically amplified extreme ultraviolet resists. J. Appl. Phys. 103, 084306 (2008)

    Article  Google Scholar 

  41. Nakano, A., Kozawa, T., Okamoto, K., Tagawa, S., Kai, T., Shimokawa, T.: Acid generation mechanism of poly(4-hydroxystyrene)-based chemically amplified resists for post-optical lithography: acid yield and deprotonation behavior of poly(4-hydroxystyrene) and poly(4-methoxystyrene). Jpn. J. Appl. Phys. 45, 6866–6871 (2006)

    Article  Google Scholar 

  42. Ushirogouchi, T., Asakawa, K., Nakase, M., Hongu, A.: Mechanism of amine additive in chemically amplified resist visualized by using Monte Carlo simulation. Proc. SPIE. 2438, 609–616 (1995)

    Article  Google Scholar 

  43. Vogt, B.D., Kang, S., Prabhu, V.M., Rao, A., Lin, E.K., Wu, W., Satija, S.K., Turnquest, K.: Influence of base additives on the reaction-diffusion front of model chemically amplified photoresists. J. Vac. Sci. Technol. B. 25, 175–182 (2007)

    Article  Google Scholar 

  44. Kozawa, T., Tagawa, S., Santillan, J.J., Itani, T.: Quencher effects at 22 nm pattern formation in chemically amplified resists. Jpn. J. Appl. Phys. 47, 5404–5408 (2008)

    Article  Google Scholar 

  45. Saeki, A., Kozawa, T., Tagawa, S., Cao, H.B., Deng, H., Leeson, M.J.: Simulation of amine concentration dependence on line edge roughness after development in electron beam lithography. J. Appl. Phys. 104, 024303 (2008)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Alberto Roncaglia .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2023 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Roncaglia, A. (2023). Advanced Lithography. In: Rudan, M., Brunetti, R., Reggiani, S. (eds) Springer Handbook of Semiconductor Devices . Springer Handbooks. Springer, Cham. https://doi.org/10.1007/978-3-030-79827-7_8

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-79827-7_8

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-79826-0

  • Online ISBN: 978-3-030-79827-7

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics