Skip to main content

Field Programmable Gate Array (FPGA) Based IoT for Smart City Applications

  • Chapter
  • First Online:
Data-Driven Mining, Learning and Analytics for Secured Smart Cities

Abstract

In the present era of modernization, automation and intelligent systems have become an integral part of our lives. These intelligent systems extremely rely on parallel computing technology for computation. Field Programmable Gate Arrays (FPGAs) have recently become extremely popular because of its reconfigurability. FPGA, an integrated circuit designed to be configured by a customer or a designer after manufacturing, finds its application in almost every area where artificial intelligence and IoT is used. The benefits of FPGAs over Application-Specific Integrated Circuits (ASICs) and microcontrollers are emphasized in this chapter to justify our inclination towards more IoT-FPGA based applications. This Dynamic reconfigurability and in-field programming features of FPGAs as compared to fixed-function ASICs help in developing better IoT systems. Due to their remarkable features, they are being heavily explored in IoT application domains like IoT security, interfacing with other IoT devices for image processing, and so on. We would lay focus on areas which require high computational capabilities and the role of FPGAs or related System on-chip whichcan be used in such application resulting in low power designs and flexibility when compared to ASICs. We also provide our insights on how FPGAs in future will be like and what improvements need to be done.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. Smith ME (2007) Form and meaning in the earliest cities: a new approach to ancient urban planning. J Plan Hist 6(1):3–47

    Article  Google Scholar 

  2. Lin C-T, Prasad M, Chung C-H, Puthal D, El-Sayed H, Sankar S, Wang Y-K, Singh J, Sangaiah AK (2017) IoT-based wireless polysomnography intelligent system for sleep monitoring. IEEE Access 6:405–414

    Article  Google Scholar 

  3. Ghimire A, Thapa S, Jha AK, Adhikari S, Kumar A (2020) Accelerating business growth with big data and artificial intelligence. In: 2020 fourth international conference on I-SMAC (IoT in social, mobile, analytics and cloud) (I-SMAC). IEEE, pp 441–448

    Google Scholar 

  4. Thapa S, Adhikari S, Ghimire A, Aditya A (2020) Feature selection based twin-support vector machine for the diagnosis of Parkinson's disease. In: 2020 IEEE 8th R10 humanitarian technology conference (R10-HTC)

    Google Scholar 

  5. Ghimire A, Thapa S, Jha AK, Kumar A, Kumar A, Adhikari S (2020) AI and IoT solutions for tackling COVID-19 pandemic. In: 2020 4th international conference on electronics, communication and aerospace technology (ICECA). IEEE, pp 1083–1092

    Google Scholar 

  6. Nallaperuma D, Nawaratne R, Bandaragoda T, Adikari A, Nguyen S, Kempitiya T, De Silva D, Alahakoon D, Pothuhera D (2019) Online incremental machine learning platform for big data-driven smart traffic management. IEEE Trans Intell Transp Syst 20(12):4679–4690

    Article  Google Scholar 

  7. Thapa S, Singh P, Jain DK, Bharill N, Gupta A, Prasad M (2020) Data-driven approach based on feature selection technique for early diagnosis of Alzheimer’s disease. In: 2020 international joint conference on neural networks (IJCNN). IEEE, pp 1–8

    Google Scholar 

  8. Keckler SW, Dally WJ, Khailany B, Garland M, Glasco D (2011) GPUs and the future of parallel computing. IEEE Micro 31(5):7–17

    Article  Google Scholar 

  9. Zuchowski PS, Reynolds CB, Grupp RJ, Davis SG, Cremen B, Troxel B (2002) A hybrid ASIC and FPGA architecture. In: IEEE/ACM international conference on computer aided design, ICCAD 2002. IEEE, pp 187–194

    Google Scholar 

  10. Zhou Y, Jin X, Wang T (2020) FPGA implementation of A algorithm for real-time path planning. Int J Reconfigurable Comput

    Google Scholar 

  11. Tukiran Z, Ahmad A, Kadir HA, Joret A (2019) FPGA implementation of sensor data acquisition for real-time human body motion measurement system. In: Proceedings of the 11th national technical seminar on unmanned system technology 2019. Springer, pp 371–380

    Google Scholar 

  12. Trimberger SMS (2018) Three ages of FPGAs: a retrospective on the first thirty years of FPGA technology: this paper reflects on how Moore’s law has driven the design of FPGAs through three epochs: the age of invention, the age of expansion, and the age of accumulation. IEEE Solid-State Circuits Mag 10(2):16–29

    Article  Google Scholar 

  13. Luk W, Cheung PY, Shirazi N (2005) Configurable computing. The electrical engineering handbook. Elsevier, pp 343–354

    Google Scholar 

  14. Ling A, Anderson J (2017) The role of FPGAs in deep learning. In: Proceedings of the 2017 ACM/SIGDA international symposium on field-programmable gate arrays, pp 3–3

    Google Scholar 

  15. Gomes T, Pinto S, Tavares A, Cabral J (2015) Towards an FPGA-based edge device for the internet of things. In: 2015 IEEE 20th conference on emerging technologies & factory automation (ETFA). IEEE, pp 1–4

    Google Scholar 

  16. Abdul AM, Krishna B, Murthy K, Khan H, Yaswanth M, Meghan G, Mathematic G (2016) IOT based home automation using FPGA. J Eng Appl Sci 1931–1937

    Google Scholar 

  17. Khan MA, Salah K (2018) IoT security: review, blockchain solutions, and open challenges. Futur Gener Comput Syst 82:395–411

    Article  Google Scholar 

  18. Monmasson E, Cirstea MN (2007) FPGA design methodology for industrial control systems—a review. IEEE Trans Ind Electron 54(4):1824–1842

    Article  Google Scholar 

  19. Rodríguez-Flores L, Morales-Sandoval M, Cumplido R, Feregrino-Uribe C, Algredo-Badillo I (2018) Compact FPGA hardware architecture for public key encryption in embedded devices. PLoS ONE 13(1):e0190939

    Article  Google Scholar 

  20. Shengiian L, Ximing Y, Senzhan J, Yu P (2019) A fast hybrid data encryption for FPGA based edge computing. In: 2019 14th IEEE international conference on electronic measurement & instruments (ICEMI). IEEE, pp 1820–1827

    Google Scholar 

  21. Kryjak T, Komorkiewicz M, Gorgon M (2011) Real-time moving object detection for video surveillance system in FPGA. In: Proceedings of the 2011 conference on design & architectures for signal & image processing (DASIP). IEEE, pp 1–8

    Google Scholar 

  22. Fadhel MA, Al-Shamaa O, Taher BH (2018) Real-time detection and tracking moving vehicles for video surveillance systems using FPGA. Int J Eng Technol 7(2.31):117–121

    Google Scholar 

  23. Zhong G, Prakash A, Wang S, Liang Y, Mitra T, Niar S (2017) Design space exploration of FPGA-based accelerators with multi-level parallelism. In: Design, automation & test in Europe conference & exhibition (DATE). IEEE, pp 1141–1146

    Google Scholar 

  24. Thapa S, Adhikari S, Naseem U, Singh P, Bharathy G, Prasad M (2020) Detecting Alzheimer’s disease by exploiting linguistic information from Nepali transcript. In: International conference on neural information processing. Springer, pp 176–184

    Google Scholar 

  25. Parah SA, Sheikh JA, Akhoon JA, Loan NA (2020) Electronic health record hiding in images for smart city applications: a computationally efficient and reversible information hiding technique for secure communication. Futur Gener Comput Syst 108:935–949

    Article  Google Scholar 

  26. Ahmed I, Saleel A, Beheshti B, Khan ZA, Ahmad I (2017) Security in the internet of things (IoT). In: 2017 fourth HCT information technology trends (ITT). IEEE, pp 84–90

    Google Scholar 

  27. Takpor T, Atayero AA (2015) Integrating internet of things and EHealth solutions for students’ healthcare. In: Proceedings of the world congress on engineering, London, UK

    Google Scholar 

  28. Gómez J, Oviedo B, Zhuma E (2016) Patient monitoring system based on internet of things. Procedia Comput Sci 83:90–97

    Article  Google Scholar 

  29. Satpathy S, Mohan P, Das S, Debbarma S (2019) A new healthcare diagnosis system using an IoT-based fuzzy classifier with FPGA. J Supercomput 1–13

    Google Scholar 

  30. Medical imaging implementation using FPGAs. https://www.intel.la/content/dam/www/programmable/us/en/pdfs/literature/wp/wp-medical.pdf

  31. Dumka A, Sah A (2019) Smart ambulance system using concept of big data and internet of things. Healthcare data analytics and management. Elsevier, pp 155–176

    Google Scholar 

  32. Vijayakumar V, Malathi D, Subramaniyaswamy V, Saravanan P, Logesh R (2019) Fog computing-based intelligent healthcare system for the detection and prevention of mosquito-borne diseases. Comput Hum Behav 100:275–285

    Article  Google Scholar 

  33. Vipin K, Fahmy SA (2018) FPGA dynamic and partial reconfiguration: a survey of architectures, methods, and applications. ACM Comput Surv (CSUR) 51(4):1–39

    Article  Google Scholar 

  34. Lie W, Feng-Yan W (2009) Dynamic partial reconfiguration in FPGAs. In: 2009 third international symposium on intelligent information technology application. IEEE, pp 445–448

    Google Scholar 

  35. Al-Ali A-R, Zualkernan IA, Rashid M, Gupta R, Alikarar M (2017) A smart home energy management system using IoT and big data analytics approach. IEEE Trans Consum Electron 63(4):426–434

    Article  Google Scholar 

  36. Andrews JG, Buzzi S, Choi W, Hanly SV, Lozano A, Soong AC, Zhang JC (2014) What will 5G be? IEEE J Sel Areas Commun 32(6):1065–1082

    Article  Google Scholar 

  37. Chamola V, Patra S, Kumar N, Guizani M (2020) FPGA for 5G: re-configurable hardware for next generation communication. IEEE Wirel Commun

    Google Scholar 

  38. Gupta A, Jha RK (2015) A survey of 5G network: architecture and emerging technologies. IEEE Access 3:1206–1232

    Article  Google Scholar 

  39. Sikka P, Asati AR, Shekhar C (2020) High‐speed and area‐efficient Sobel edge detector on field‐programmable gate array for artificial intelligence and machine learning applications. Comput Intell

    Google Scholar 

  40. Khattak YH, Mahmood T, Alam K, Sarwar T, Ullah I, Ullah H (2014) Smart energy management system for utility source and photovoltaic power system using FPGA and ZigBee. Am J Electr Power Energy Syst 3(5):86–94

    Article  Google Scholar 

  41. Rupani A, Sujediya G (2016) A review of FPGA implementation of internet of things. Int J Innov Res Comput Commun Eng 4(9)

    Google Scholar 

  42. Daisy A (2020) Neuroscience in FPGA and application in IoT. FPGA algorithms and applications for the internet of things. IGI Global, pp 97–107

    Google Scholar 

  43. Qu L, Sun X, Huang Y, Tang C, Ling L (2012) FPGA implementation of QoS multicast routing algorithm of mine internet of things perception layer based on ant colony algorithm. Adv Inf Sci Serv Sci 4:124–131

    Google Scholar 

  44. Krishna KD, Akkala V, Bharath R, Rajalakshmi P, Mohammed AM (2014) FPGA based preliminary CAD for kidney on IoT enabled portable ultrasound imaging system. In: 2014 IEEE 16th international conference on e-health networking, applications and services (Healthcom). IEEE, pp 257–261

    Google Scholar 

  45. Nawrocki P, Mamla A (2015) Distributed web service repository. Comput Sci 16

    Google Scholar 

  46. Urbina M, Acosta T, Lázaro J, Astarloa A, Bidarte U (2019) Smart sensor: SoC architecture for the industrial internet of things. IEEE Internet Things J 6(4):6567–6577

    Article  Google Scholar 

  47. Rahaman A, Islam MM, Islam MR, Sadi MS, Nooruddin S (2019) Developing IoT based smart health monitoring systems: a review. Revue d’Intelligence Artificielle 33(6):435–440

    Article  Google Scholar 

  48. Panicker RC, Kumar A, John D (2020) Introducing FPGA-based machine learning on the edge to undergraduate students. In: 2020 IEEE frontiers in education conference (FIE). IEEE, pp 1–5

    Google Scholar 

  49. Barbareschi M, Battista E, Casola V (2013) On the adoption of FPGA for protecting cyber physical infrastructures. In: 2013 eighth international conference on P2P, parallel, grid, cloud and internet computing. IEEE, pp 430–435

    Google Scholar 

  50. Gaikwad NB, Tiwari V, Keskar A, Shivaprakash N (2019) Efficient FPGA implementation of multilayer perceptron for real-time human activity classification. IEEE Access 7:26696–26706

    Article  Google Scholar 

  51. Bhattacharya S, Banerjee S, Chakraborty C (2019) Iot-based smart transportation system under real-time environment. Big Data Enabled Internet Things 16:353–372

    Article  Google Scholar 

  52. Chakraborty C, Rodrigues JJ (2020) A comprehensive review on device-to-device communication paradigm: trends, challenges and applications. Wirel Pers Commun 114(1):185–207

    Article  Google Scholar 

  53. Shelke Y, Chakraborty C (2020) augmented reality and virtual reality transforming spinal imaging landscape: a feasibility study. IEEE Comput Graph Appl

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2021 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Negi, A., Raj, S., Thapa, S., Indu, S. (2021). Field Programmable Gate Array (FPGA) Based IoT for Smart City Applications. In: Chakraborty, C., Lin, J.CW., Alazab, M. (eds) Data-Driven Mining, Learning and Analytics for Secured Smart Cities. Advanced Sciences and Technologies for Security Applications. Springer, Cham. https://doi.org/10.1007/978-3-030-72139-8_7

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-72139-8_7

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-72138-1

  • Online ISBN: 978-3-030-72139-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics