Skip to main content

An Edge Detection IP of Low-Cost System on Chip for Autonomous Vehicles

  • Conference paper
  • First Online:
Advances in Artificial Intelligence and Applied Cognitive Computing

Abstract

This chapter proposes a demonstration of edge detection on field-programmable gate array (FPGA), enabling to detect the edge of 320 × 240 size of images at 1302 frames per second (fps). The future work is an integrated system on chip (SoC) with a low-cost bus architecture, a security engine, and an image/video processing data path including OV7670 camera and VGA-interfaced display. The end goal will be a demonstration and simulation on self-driving vehicle to detect obstacles at the network edge. The design of many intellectual properties (IPs) of the SoC has been made publicly available to serve research and teaching courses at University of Houston-Clear Lake (UHCL), as well as to bring together researchers in other universities with interests in integrated circuit design, robotics, and FPGA prototyping.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 259.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 329.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 329.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. J. HoChristopher, B. ChenRohan, P. Kielbus, Autonomous vehicle routing, US20190120640A1, 2019

    Google Scholar 

  2. J. Kuffner, Systems and methods for detection by autonomous vehicles, US20190079194A1, 2019

    Google Scholar 

  3. S. Bonadiesa1, S. Andrew Gadsden, An overview of autonomous crop row navigation strategies for unmanned ground vehicles. Eng. Agriculture Environ. Food 12(1), 24–31 (2019)

    Google Scholar 

  4. B. van Arem, C.J. van Driel, R. Visser, The impact of cooperative adaptive cruise control on traffic-flow characteristics. IEEE Trans. Intell. Transp. Syst. 7, 429–436 (2006)

    Article  Google Scholar 

  5. K. Spieser, K. Treleaven, R. Zhang, E. Frazzoli, D. Morton, M. Pavone, Toward a systematic approach to the design and evaluation of automated mobility-on-demand systems: A case study in Singapore, in Road Vehicle Automation, ed. by G. Meyer, S. Beiker, pp. 229–245, 2014

    Google Scholar 

  6. P. Gao, R. Hensley, A. Zielke, A roadmap to the future for the auto industry. McKinsey Quarterly (2014)

    Google Scholar 

  7. K. Takeo, Autonomous land vehicle project at CMU, in Proceedings of the 1986 ACM Fourteenth Annual Conference on Computer Science, pp. 71–80, 1986

    Google Scholar 

  8. W. Richard, First results in robot road-following, in Proceedings of the 9th International Joint Conference on Artificial Intelligence, 1985

    Google Scholar 

  9. B. Montemerlo, et al., Junior: The Stanford entry in the urban challenge. J. Field Robot 25, 569–597 (2008)

    Article  Google Scholar 

  10. C. Urmson, et al., Autonomous driving in urban environments: Boss and the urban challenge. J. Field Robot 25, 425–466 (2008)

    Article  Google Scholar 

  11. M.M. Waldrop, Autonomous vehicles: No drivers required. Nature 518, 20–23 (2015)

    Article  Google Scholar 

  12. F. Guo et al., Detecting vehicle anomaly in the edge via sensor consistency and frequency characteristic. IEEE Trans. Vehicular Technol. 68(6), 5618–5628 (2019)

    Article  Google Scholar 

  13. W. McNeill, Sensor-based detection of landing zones, US10472086B2, 2020

    Google Scholar 

  14. W.M. Leach Scott, C. Poeppel Matthew Langford Tess Bianchi, Sensor control system for autonomous vehicle, US20190092287A1, 2019

    Google Scholar 

  15. J. Annamalai, C. Lakshmikanthan, An optimized computer vision and image processing algorithm for unmarked road edge detection, in Soft Computing and Signal Processing, ed. by J. Wang, G. Reddy, V. Prasad, V. Reddy. Advances in Intelligent Systems and Computing, vol. 900 (Springer, Singapore, 2019)

    Google Scholar 

  16. S. Yang, J. Wu, Y. Shan, Y. Yu, et al., A novel vision-based framework for real-time lane detection and tracking, SAE Technical Paper 2019-01-0690, 2019

    Google Scholar 

  17. D. Vajak, M. Vranješ, R. Grbić, D. Vranješ, Recent advances in vision-based lane detection solutions for automotive applications, in 2019 International Symposium ELMAR, Zadar, Croatia, PP. 45–50, Vajak, 2019

    Google Scholar 

  18. C. Hana, E. Joel, S. Alvaro, Autonomous vehicle heaven or hell? Creating a transportation revolution that benefits all, Greenlining Institute, 2019

    Google Scholar 

  19. K. Vaca, A. Gajjar, X. Yang, Real-time automatic music transcription (AMT) with Zync FPGA, in IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Miami, FL, US, Jan. 13, 2020

    Google Scholar 

  20. Y. Zhang, X. Yang , L. Wu, J. Lu, K. Sha, A. Gajjar, H. He, Exploring slice-energy saving on an video processing FPGA platform with approximate computing, in Intl. Conference on Algorithms, Computing and Systems (ICACS), pp. 138–143, July 27–29, Beijing China, 2018

    Google Scholar 

  21. X. Yang, J. Andrian, A high performance on-chip bus (MSBUS) design and verification. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. (TVLSI) 23(7), 1350–1354 (2015)

    Google Scholar 

  22. A. Tang et al., A 95 GHz centimeter scale precision confined pathway system-on-chip navigation processor for autonomous vehicles in 65nm CMOS, in 2015 IEEE MTT-S International Microwave Symposium, PP. 1–3, Phoenix, AZ, 2015

    Google Scholar 

  23. D. Guermandi, et al., A 79-GHz 2 × 2 MIMO PMCW radar SoC in 28-nm CMOS. IEEE J. Solid State Circuits 52(10), 2613–2626 (2017)

    Article  Google Scholar 

  24. J. Pahasa, I. Ngamroo, PHEVs bidirectional charging/discharging and SoC control for microgrid frequency stabilization using multiple MPC. IEEE Trans. Smart Grid 6(2), 526–533 (2015)

    Article  Google Scholar 

  25. X. Yang, J. Andrian, An advanced bus architecture for AES-encrypted high-performance embedded systems, US20170302438A1, Oct. 19, 2017

    Google Scholar 

  26. X. Yang, W. Wen, Design of a pre-scheduled data bus (DBUS) for advanced encryption standard (AES) encrypted system-on-chips (SoCs), in The 22nd Asia and South Pacific Design Automation Conference (ASP-DAC 2017), pp. 1–6, Chiba, Japan, 2017

    Google Scholar 

  27. X. Yang, W. Wen, M. Fan, Improving AES core performance via an advanced IBUS protocol. ACM J. Emerg. Technol. Comput. (JETC) 14(1), 61–63 (2018)

    Google Scholar 

  28. X. Yang, Y. Zhang, L. Wu, A scalable image/video processing platform with open source design and verification environment, in 20th Intl. Symposium on Quality Electronic Design (ISQED), pp. 110–116, Santa Clara, CA, US, April 2019

    Google Scholar 

  29. X. Yang, J. Andrian, A low-cost and high-performance embedded system architecture and an evaluation methodology, in IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 240–243, Tampa, FL, USA, Sept. 2014

    Google Scholar 

  30. X. Yang, N. Wu, J. Andrian, A novel bus transfer mode: block transfer and a performance evaluation methodology. Elsevier Integ. VLSI J. 52, 23–33 (2016)

    Article  Google Scholar 

  31. H. Park, Robust road lane detection for high speed driving of autonomous vehicles, in Web, Artificial Intelligence and Network Applications, ed. by L. Barolli, M. Takizawa, F. Xhafa, T. Enokido. Advances in Intelligent Systems and Computing, vol. 927 (Springer, Cham, 2019)

    Google Scholar 

  32. T. Datta, S.K. Mishra, S.K. Swain, Real-time tracking and lane line detection technique for an autonomous ground vehicle system, in International Conference on Intelligent Computing and Smart Communication, ed. by G. Singh Tomar, N. Chaudhari, J. Barbosa, M. Aghwariya. Algorithms for Intelligent Systems (Springer, Singapore, 2019)

    Google Scholar 

  33. D.P. Filev, J. Lu, D.D. Hrovat, Autonomous vehicle operation based on interactive model predictive control, US10239529B2, 2020

    Google Scholar 

  34. X. Chen, S. Zhang, J. Wu, Lidar inertial odometry and mapping for autonomous vehicle in GPS-denied parking lot, in WCX SAE World Congress Experience, 2020

    Google Scholar 

  35. D. Miculescu, S. Karaman, Polling-systems-based autonomous vehicle coordination in traffic intersections with no traffic signals. IEEE Trans. Automatic Control 65(2), 680–694 (2020)

    Article  MathSciNet  MATH  Google Scholar 

  36. R. Abi Zeid Daou, F. El Samarani, C. Yaacoub, X. Moreau, Fractional derivatives for edge detection: Application to road obstacles, in Smart Cities Performability, Cognition, & Security, ed. by F. Al-Turjman. EAI/Springer Innovations in Communication and Computing (Springer, Cham, 2020)

    Google Scholar 

  37. Z. Wang, G. Cheng, J. Zheng, Road edge detection in all weather and illumination via driving video mining. IEEE Trans. Intell. Vehicles 4(2), 232–243 (2019)

    Article  Google Scholar 

  38. S. Agrawal, B.K. Dean, Edge detection algorithm for Musca − Domestica inspired vision system. IEEE Sensors J. 19(22), 10591–10599 (2019)

    Article  Google Scholar 

  39. X. Song, X. Zhao, L. Fang, et al., EdgeStereo: An effective multi-task learning network for stereo matching and edge detection. Int. J. Comput. Vis. (2020)

    Google Scholar 

  40. X. Yang, et al., Towards third-part IP integration: A case study of high-throughput and low-cost wrapper design on a novel IBUS architecture, in IET Computers & Digital Techniques (IET-CDT), Under Review, 2020

    Google Scholar 

  41. Y. Zhang, X. Yang , L. Wu, J. Andrian, A case study on approximate FPGA design with an open-source image processing platform, in IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Miami, FL, US, Jan. 13, 2020

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Xiaokun Yang .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2021 Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Yang, X., Yang, T.A., Wu, L. (2021). An Edge Detection IP of Low-Cost System on Chip for Autonomous Vehicles. In: Arabnia, H.R., Ferens, K., de la Fuente, D., Kozerenko, E.B., Olivas Varela, J.A., Tinetti, F.G. (eds) Advances in Artificial Intelligence and Applied Cognitive Computing. Transactions on Computational Science and Computational Intelligence. Springer, Cham. https://doi.org/10.1007/978-3-030-70296-0_56

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-70296-0_56

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-70295-3

  • Online ISBN: 978-3-030-70296-0

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics