Skip to main content

Integrated Circuits

  • Chapter
  • First Online:
Design for Testability, Debug and Reliability
  • 501 Accesses

Abstract

This chapter introduces the basic principles of the IC design and test. Furthermore, measurements are introduced, which ensure that the later IC design holds a high level of testability and reliability if this is required for the intended application. In particular, the abstract circuit model is presented in Sect. 2.1 and the principles of circuit test are described in Sect. 2.2. This includes the structural test in Sect. 2.2.1 and the functional test in Sect. 2.2.2.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 16.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 119.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    A single clock domain is assumed to ease the following descriptions. However, all proposed techniques in the remainder of this book can be extended to further clock domains.

  2. 2.

    In the remainder of this work, a test stimulus is called test pattern.

  3. 3.

    Note that the concept of FSM is introduced detailed in Sect. 3.6 on page 49.

References

  1. M. Bushnell, V. Agrawal, Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits (Springer, 2013). https://doi.org/10.1007/b117406

  2. R.C. Baumann, Radiation-induced soft errors in advanced semiconductor technologies. IEEE Trans. Device Mater. Reliab. 5(3), 305–316 (2005). https://doi.org/10.1109/TDMR.2005.853449

    Article  Google Scholar 

  3. P. Bennett, The Why, Where and What of Low-Power SoC Design, 02/22/2020 (2014). https://www.eetimes.com/the-why-where-and-what-of-low-power-soc-design/#

  4. L. Doyen et al., Robustness of sequential circuits, in Proceedings of the International Conference on Application of Concurrency to System Design (2010), pp. 77–84. https://doi.org/10.1109/ACSD.2010.26

  5. R. Drechsler et al., Test Pattern Generation Using Boolean Proof Engines (Springer, 2009). https://doi.org/10.1007/978-90-481-2360-5

  6. B.I. Dervisoglu, G.E. Stong, Design for testability using scanpath techniques for path-delay test and measurement, in Proceedings of the International Test Conference (1991), pp. 365–374. https://doi.org/10.1109/TEST.1991.519696

  7. R.D. Eldred, Test routines based on symbolic logical statements. J. ACM 6(1), 33–37 (1959). https://doi.org/10.1145/320954.320957

    Article  MathSciNet  Google Scholar 

  8. E.B. Eichelberger, T.W. Williams, A logic design structure for lsi testability, in Proceedings of the Design Automation Conference (IEEE Press, 1977), pp. 462–468. https://doi.org/10.1145/62882.62924

  9. S. Eggersglüß, R. Wille, R. Drechsler, Improved SAT-based ATPG: More constraints, better compaction, in Proceedings of the International Conference on Computer-Aided Design (2013), pp. 85–90. https://doi.org/10.1109/ICCAD.2013.6691102

  10. G. Fey, R. Drechsler, A basis for formal robustness checking, in Proceedings of the International Symposium on Quality Electronic Design (2008), pp. 784–789. https://doi.org/10.1109/ISQED.2008.4479838

  11. G. Fey et al., Effective robustness analysis using bounded model checking techniques. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(8), 1239–1252 (2011) https://doi.org/10.1109/TCAD.2011.2120950

    Article  Google Scholar 

  12. H. Fujiwara, T. Shimono, On the acceleration of test generation algorithms. IEEE Trans. Comput. C-32(12), 1137–1144 (1983). https://doi.org/10.1109/TC.1983.1676174

    Article  Google Scholar 

  13. S.K. Goel, K. Chakrabarty, Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits (CRC Press, 2013). https://doi.org/10.1201/b15549

  14. M. Gerner, B. Müller, G. Sandweg, Selbsttest digitaler Schaltungen (Springer, 1990)

    Google Scholar 

  15. J.M. Galey, R.E. Norby, J.P. Roth, Techniques for the diagnosis of switching circuit failures. IEEE Trans. Commun. Electron. 83(74), 509–514 (1964) https://doi.org/10.1109/TCOME.1964.6539498

    Article  Google Scholar 

  16. P. Goel, B.C. Rosales, PODEM-X: An automatic test generation system for VLSI logic structures, in Proceedings of the Design Automation Conference (1981), pp. 260–268. https://doi.org/10.1109/DAC.1981.1585361

  17. G. Hetherington et al., Logic BIST for large industrial designs: real issues and case studies, in Proceedings of the International Test Conference (1999), pp. 358–367. https://doi.org/10.1109/TEST.1999.805650

  18. T. Heijmen, A. Nieuwland, Soft-error rate testing of deep-submicron integrated circuits, in Proceedings of the IEEE European Test Symposium (2006), pp. 247–252. https://doi.org/10.1109/ETS.2006.42

  19. S.-Y. Huang et al., Fault-simulation based design error diagnosis for sequential circuits, in Proceedings of the Design Automation Conference (1998), pp. 632–637. https://doi.org/10.1109/DAC.1998.724548

  20. IEEE Standard for Test Access Port and Boundary-Scan Architecture Redline, in IEEE Std 1149.1-2013 (Revision of IEEE Std 1149.1-2001) Redline (2013), pp. 1–899

    Google Scholar 

  21. IEEE Standard for Access and Control of Instrumentation Embedded Within a Semiconductor Device, in IEEE Std 1687-2014 (2014), pp. 1–283

    Google Scholar 

  22. Intel Corporation, LGA1150 Socket - Application Guide, 02/21/2020 (2013). https://www.intel.com/content/dam/www/public/us/en/documents/guides/4th-gen-core-lga1150-socket-guide.pdf

  23. S. Jayanthy, M.C. Bhuvaneswari, Test Generation of Crosstalk Delay Faults in VLSI Circuits (Springer, 2018). https://doi.org/10.1007/978-981-13-2493-2

  24. N.K. Jha, S. Gupta, Testing of Digital Systems (Cambridge University Press, USA, 2002). https://doi.org/10.1017/CBO9780511816321

    Google Scholar 

  25. U. Krautz et al., Evaluating coverage of error detection logic for soft errors using formal methods, in Proceedings of the Design, Automation and Test in Europe (2006), pp. 1–6. https://doi.org/10.1109/DATE.2006.244062

  26. T. Larrabee, Test pattern generation using Boolean satisfiability. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 11(1), 4–15 (1992). https://doi.org/10.1109/43.108614

    Article  Google Scholar 

  27. J. Lienig, Layoutsynthese elektronischer Schaltungen Grundlegende Algorithmen für die Entwurfsautomatisierung (Springer, 2006). https://doi.org/10.1007/3-540-29942-4

  28. A. Miczo, Digital Logic Testing and Simulation, Vol. 2 (Wiley, 2003)

    Google Scholar 

  29. N. Miskov-Zivanov, D. Marculescu, Multiple transient faults in combinational and sequential circuits: A systematic approach. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(10), 1614–1627 (2010). https://doi.org/10.1109/TCAD.2010.261131

    Article  Google Scholar 

  30. H. Mujtaba, AMD 2nd Gen EPYC Rome Processors Feature A Gargantuan 39.54 Billion Transistors, IO Die Pictured in Detail, 02/15/2020 (2019). https://wccftech.com/amd-2nd-gen-epyc-rome-iod-ccd-chipshots-39-billion-transistors/

  31. T.M. Mak, S. Venkataraman, Design for debug and diagnosis, in System-on-Chip Test Architectures (2008), pp. 463–504. https://doi.org/10.1016/B978-012373973-5.50015-2

  32. P. Nagaraj, Test Cost Challenges in LPCT (Low Pin Count Test) Designs, 02/22/2020 (2014). https://www.edn.com/test-cost-challenges-in-lpct-low-pin-count-test-designs/

  33. D. Nayak, D.M.H. Walker, Simulation-based design error diagnosis and correction in combinational digital circuits, in Proceedings of the VLSI Test Symposium (1999), pp. 70–78. https://doi.org/10.1109/VTEST.1999.766649

  34. J.P. Roth, Diagnosis of automata failures: A calculus and a method IBM J. Res. Dev. 10(4), 278–291 (1966). https://doi.org/10.1147/rd.104.0278

    MATH  Google Scholar 

  35. S.K. Roy, S. Ramesh, Functional verification of system on chips practices, issues and challenges, in Proceedings of the ASP Design Automation Conference (2002), pp. 11–13. https://doi.org/10.1109/ASPDAC.2002.994873

  36. J. Savir, S. Patil, Scan-based transition test. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(8), 1232–1241 (1993). https://doi.org/10.1109/43.238615

    Article  Google Scholar 

  37. J. Savir, S. Patil, Broad-side delay test. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 13(8), 1057–1064 (1994). https://doi.org/10.1109/43.298042

    Article  Google Scholar 

  38. B. Vermeulen, S.K. Goel, Design for debug: catching design errors in digital chips. IEEE Des. Test Comput. 19(3), 35–43 (2002). https://doi.org/10.1109/MDT.2002.103792

    Article  Google Scholar 

  39. B. Vermeulen, K. Goossens, The complexity of debugging system chips. Debugging Systems-on-Chip: Embedded Systems (Springer, 2014), pp. 139–155. https://doi.org/10.1007/978-3-319-06242-6_6

  40. C. Wu, K. Lee, S.M. Reddy, An efficient diagnosis-aware ATPG procedure to enhance diagnosis resolution and test compaction. IEEE Trans. VLSI Syst. 27(9), 2105–2118 (2019). https://doi.org/10.1109/TVLSI.2019.2919233

    Article  Google Scholar 

  41. L.-T. Wang, C.-W. Wu, X. Wen, VLSI Test Principles and Architectures: Design for Testability (Systems on Silicon) (Morgan Kaufmann Publishers, San Francisco, CA, USA, 2006)

    Google Scholar 

  42. Y. Zorian, S. Shoukourian, Embedded-memory test and repair: infrastructure IP for SoC yield. IEEE Trans. Design Test Comput. 20(3), 58–66 (2003). https://doi.org/10.1109/MDT.2003.1198687

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2021 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Huhn, S., Drechsler, R. (2021). Integrated Circuits. In: Design for Testability, Debug and Reliability. Springer, Cham. https://doi.org/10.1007/978-3-030-69209-4_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-69209-4_2

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-69208-7

  • Online ISBN: 978-3-030-69209-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics