Skip to main content

Abstract

The technology scaling and the adoption of FinFET devices brought several benefits, but some drawbacks were also introduced at each technology node. This chapter starts by providing a general overview of the problems that affect the reliability of integrated circuits. Then, we present the two reliability challenges addressed in this book: process variability and radiation-induced soft errors. The second section is dedicated to explaining the meaning of process variability, how it affects the electronic systems, and the main sources of process variations. The third section explores the impact of radiation effects on circuits, the classification of single event effects, and the charge collection mechanism. Finally, the most relevant state-of-the-art works are presented, focusing on evaluating or attenuating nanometer technologies reliability challenges

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 59.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 79.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Abu-Rahma, M.H., Anis, M.: A statistical design-oriented delay variation model accounting for within-die variations. IEEE Trans. Comput. Aided Design Integr. Circuits Syst. 27(11), 1983–1995 (2008)

    Article  Google Scholar 

  2. Agarwal, S., Pandey, R.K., Johnson, J.B., Dixit, A., Bajaj, M., Furkay, S.S., Oldiges, P.J., Murali, K.V.R.M.: Ab initio study of metal grain orientation-dependent work function and its impact on FinFET variability. IEEE Trans. Electron Devices 60(9), 2728–2733 (2013)

    Article  Google Scholar 

  3. Aguiar, Y.Q., Zimpeck, A.L., Meinhardt, C., Reis, R.A.L.: Temperature dependence and ZTC bias point evaluation of sub 20nm bulk multigate devices. In: 2017 24th IEEE International Conference on Electronics, Circuits and Systems (ICECS), pp. 270–273 (2017)

    Google Scholar 

  4. Ahlbin, J.R., Atkinson, N.M., Gadlage, M.J., Gaspard, N.J., Bhuva, B.L., Loveless, T.D., Zhang, E.X., Chen, L., Massengill, L.W.: Influence of n-well contact area on the pulse width of single-event transients. IEEE Trans. Nuclear Sci. 58(6), 2585–2590 (2011)

    Article  Google Scholar 

  5. Alghareb, F.S., Ashraf, R.A., Alzahrani, A., DeMara, R.F.: Energy and delay tradeoffs of soft-error masking for 16-nm FinFET logic paths: survey and impact of process variation in the near-threshold region. IEEE Trans. Circuits Syst. II: Express Briefs 64(6), 695–699 (2017)

    Article  Google Scholar 

  6. Alles, M.L., Schrimpf, R.D., Reed, R.A., Massengill, L.W., Weller, R.A., Mendenhall, M.H., Ball, D.R., Warren, K.M., Loveless, T.D., Kauppila, J.S., Sierawski, B.D.: Radiation hardness of FDSOI and FinFET technologies. In: IEEE 2011 International SOI Conference, pp. 1–2 (2011)

    Google Scholar 

  7. Artola, L., Chiarella, T., Nuns, T., Cussac, G., Mitard, J.: Foundry dependence of total ionizing dose effects of FinFET transistor in 14-nm technological node. In: IEEE Nuclear Space Radiation Effects Conference (NSREC) (2020)

    Google Scholar 

  8. Artola, L., Gaillardin, M., Hubert, G., Raine, M., Paillet, P.: Modeling single event transients in advanced devices and ICS. IEEE Trans. Nuclear Sci. 62(4), 1528–1539 (2015)

    Article  Google Scholar 

  9. Artola, L., Hubert, G., Alioto, M.: Comparative soft error evaluation of layout cells in FinFET technology. Microelectro. Reliab. 54(9), 2300–2305 (2014). SI: ESREF 2014

    Google Scholar 

  10. Atkinson, N.M., Witulski, A.F., Holman, W.T., Ahlbin, J.R., Bhuva, B.L., Massengill, L.W.: Layout technique for single-event transient mitigation via pulse quenching. IEEE Trans. Nuclear Sci. 58(3), 885–890 (2011)

    Article  Google Scholar 

  11. Avci, M., Babac, M.Y., Yildirim, T.: Neural network based MOSFET channel length and width decision method for analogue integrated circuits. Int. J. Electro. 92(5), 281–293 (2005)

    Article  Google Scholar 

  12. Bakshi, V.: EUV Lithography. SPIE Press Monograph. Society of Photo Optical. SPIE Press, Bellingham (2018)

    Book  Google Scholar 

  13. Barth, J.L., Dyer, C.S., Stassinopoulos, E.G.: Space, atmospheric, and terrestrial radiation environments. IEEE Trans. Nuclear Sci. 50(3), 466–482 (2003)

    Article  Google Scholar 

  14. Baumann, R.C.: Radiation-induced soft errors in advanced semiconductor technologies. IEEE Trans. Device Mat. Reliab. 5(3), 305–316 (2005)

    Article  Google Scholar 

  15. Borkar, S.: Designing reliable systems from unreliable components: the challenges of transistor variability and degradation. IEEE Micro 25(6), 10–16 (2005)

    Article  Google Scholar 

  16. Borkar, S.: Design perspectives on 22nm CMOS and beyond. In: 2009 46th ACM/IEEE Design Automation Conference, pp. 93–94 (2009)

    Google Scholar 

  17. Brain, R.: 14nm Technology Leadership (2017). https://newsroom.intel.com/newsroom/wp-content/uploads/sites/11/2017/03/Ruth-Brain-2017-Manufacturing.pdf

  18. Brendler, L.H., Zimpeck, A.L., Meinhardt, C., Reis, R.: Evaluating the impact of process variability and radiation effects on different transistor arrangements. In: 2018 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), pp. 71–76 (2018)

    Google Scholar 

  19. Brendler, L.H., Zimpeck, A.L., Meinhardt, C., Reis, R.: Exploring multi-level design to mitigate variability and radiation effects on 7nm FinFET logic cells. In: 2018 25th IEEE International Conference on Electronics, Circuits and Systems (ICECS), pp. 581–584 (2018)

    Google Scholar 

  20. Cao, Y., Clark, L.T.: Mapping statistical process variations toward circuit performance variability: an analytical modeling approach. In: Proceedings of the 42nd Design Automation Conference, 2005, pp. 658–663 (2005)

    Google Scholar 

  21. Calomarde, A., Amat, E., Moll, F., Vigara, J., Rubio, A.: Set and noise fault tolerant circuit design techniques: Application to 7nm FinFET. Microelectron. Reliab. 54(4), 738–745 (2014)

    Article  Google Scholar 

  22. Cathignol, A., Cheng, B., Chanemougame, D., Brown, A.R., Rochereau, K., Ghibaudo, G., Asenov, A.: Quantitative evaluation of statistical variability sources in a 45-nm technological node LP N-MOsfet. IEEE Electron Device Lett. 29(6), 609–611 (2008)

    Article  Google Scholar 

  23. Chang, H., Sapatnekar, S.: Circuit timing and leakage power analysis under process variations. Thesis (PhD) – University of Minnesota (2006)

    Google Scholar 

  24. Chatterjee, I., Zhang, E.X., Bhuva, B.L., Reed, R.A., Alles, M.L., Mahatme, N.N., Ball, D.R., Schrimpf, R.D., Fleetwood, D.M., Linten, D., Simôen, E., Mitard, J., Claeys, C.: Geometry dependence of total-dose effects in bulk FinFETs. IEEE Trans. Nuclear Sci. 61(6), 2951–2958 (2014)

    Article  Google Scholar 

  25. Chen, J., Chen, S., He, Y., Chi, Y., Qin, J., Liang, B., Liu, B.: Novel layout technique for n-hit single-event transient mitigation via source-extension. IEEE Trans. Nuclear Sci. 59(6), 2859–2866 (2012)

    Article  Google Scholar 

  26. Chen, J., Chen, S., He, Y., Qin, J., Liang, B., Liu, B., Huang, P.: Novel layout technique for single-event transient mitigation using dummy transistor. IEEE Trans. Device Mater. Reliab. 13(1), 177–184 (2013)

    Article  Google Scholar 

  27. Chen, J., Chen, S., Liang, B., Liu, B.: Simulation study of the layout technique for p-hit single-event transient mitigation via the source isolation. IEEE Trans. Device Mater. Reliab. 12(2), 501–509 (2012)

    Article  Google Scholar 

  28. Clark, L.T., Vashishtha, V., Shifren, L., Gujja, A., Sinha, S., Cline, B., Ramamurthy, C., Yeric, G.: ASAP7: A 7-nm FinFET predictive process design kit. Microelectron. J. 53, 105–115 (2016)

    Article  Google Scholar 

  29. Clemens, M.A.: Energy deposition mechanisms for proton-and-neutron-induced single event upsets. Thesis (PhD) – Vanderbilt University (2012)

    Google Scholar 

  30. Chancellor, J.C., Scott, G.B., Sutton, J.P.: Space radiation: The number one risk to astronaut health beyond low earth orbit. Life (Basel) 4(3), 491–510 (2014)

    Google Scholar 

  31. Choi, J.H., Murthy, J., Roy, K.: The effect of process variation on device temperature in FinFET circuits. In: 2007 IEEE/ACM International Conference on Computer-Aided Design, pp. 747–751 (2007)

    Google Scholar 

  32. Cummings, D.J.: Enhancements in CMOS device simulation for single-event effects. Thesis (PhD) – University of Florida (2010)

    Google Scholar 

  33. Dadgour, H., Vivek De, Banerjee, K.: Statistical modeling of metal-gate work-function variability in emerging device technologies and implications for circuit design. In: 2008 IEEE/ACM International Conference on Computer-Aided Design, pp. 270–277 (2008)

    Google Scholar 

  34. Dai, C., Chen, S., Linten, D., Scholz, M., Hellings, G., Boschke, R., Karp, J., Hart, M., Groeseneken, G., Ker, M., Mocuta, A., Horiguchi, N.: Latchup in bulk FinFET technology. In: 2017 IEEE International Reliability Physics Symposium (IRPS), pp. EL–1.1–EL–1.3 (2017)

    Google Scholar 

  35. Das, R., Baishya, S.: Investigation of work function and temperature of germanium FinFETs. In: 2017 International Conference on Electron Devices and Solid-State Circuits (EDSSC), pp. 1–2 (2017)

    Google Scholar 

  36. de Aguiar, Y., Artola, L., Hubert, G., Meinhardt, C., Kastensmidt, F., Reis, R.: Evaluation of radiation-induced soft error in majority voters designed in 7nm FinFET technology. Microelectron. Reliab. 76–77, 660–664 (2017)

    Article  Google Scholar 

  37. de Aguiar, Y.Q., Meinhardt, C., Reis, R.A.L.: Radiation sensitivity of XOR topologies in multigate technologies under voltage variability. In: 2017 IEEE 8th Latin American Symposium on Circuits Systems (LASCAS), pp. 1–4 (2017)

    Google Scholar 

  38. Dodd, P.E., Shaneyfelt, M.R., Schwank, J.R., Felix, J.A.: Current and future challenges in radiation effects on CMOS electronics. IEEE Trans. Nuclear Sci. 57(4), 1747–1763 (2010)

    Article  Google Scholar 

  39. El-Mamouni, F., Zhang, E.X., Pate, n.d., Hooten, N., Schrimpf, R.D., Reed, R.A., Galloway, K.F., McMorrow, D., Warner, J., Simoen, E., Claeys, C., Griffoni, A., Linten, D., Vizkelethy, G.: Laser- and heavy ion-induced charge collection in bulk FinFETs. IEEE Trans. Nuclear Sci. 58(6), 2563–2569 (2011)

    Google Scholar 

  40. Endo, K., Matsukawa, T., Ishikawa, Y., Liu, Y.X., O’uchi, S., Sakamoto, K., Tsukada, J., Yamauchi, H., Masahara, M.: Variation analysis of tin FinFETs. In: 2009 International Semiconductor Device Research Symposium, pp. 1–2 (2009)

    Google Scholar 

  41. Faccio, F.: Design Hardening Methodologies for ASICs, pp. 143–160. Springer, Dordrecht (2007)

    Google Scholar 

  42. Fan, M., Wu, Y., Hu, V.P., Su, P., Chuang, C.: Investigation of cell stability and write ability of FinFET subthreshold SRAM using analytical SNM model. IEEE Trans. Electron Devices 57(6), 1375–1381 (2010)

    Article  Google Scholar 

  43. Fang, Y., Oates, A.S.: Neutron-induced charge collection simulation of bulk FinFET SRAMs compared with conventional planar SRAMs. IEEE Trans. Device Mater. Reliab. 11(4), 551–554 (2011)

    Article  Google Scholar 

  44. Ghai, D., Mohanty, S.P., Kougianos, E.: Design of parasitic and process-variation aware nano-CMOS RF circuits: A VCO case study. IEEE Trans. Very Large Scale Integr. Syst. 17(9), 1339–1342 (2009)

    Article  Google Scholar 

  45. GSS: Case Study: Statistical Variability in an Example 22nm FinFET (2010). http://www.goldstandardsimulations.com/GSS_22nm_FinFET_case_study.pdf

  46. Gupta, P., Papadopoulou, E.: Yield Analysis and Optimization. CiteSeerX (2011)

    Google Scholar 

  47. Harish, B.P., Bhat, N., Patil, M.B.: On a generalized framework for modeling the effects of process variations on circuit delay performance using response surface methodology. IEEE Trans. Comput. Aided Design Integr. Circuits Syst. 26(3), 606–614 (2007)

    Article  Google Scholar 

  48. Hartmann, F.: Silicon Detectors (2009). http://lxmi.mi.infn.it/ISAPP/editionsold/2009karlsruhe/www.kceta.kit.edu/downloads/Hartmann_Si-Detectors.pdf

  49. Henderson, C.L.: Failure analysis techniques for a 3d world. Microelectron. Reliab. 53(9), 1171–1178 (2013). European Symposium on Reliability of Electron Devices, Failure Physics and Analysis

    Google Scholar 

  50. Hubert, G., Artola, L., Regis, D.: Impact of scaling on the soft error sensitivity of bulk, FDSOI and FinFET technologies due to atmospheric radiation. Integration 50, 39–47 (2015)

    Google Scholar 

  51. Hubert, G., Duzellier, S., Inguimbert, C., Boatella-Polo, C., Bezerra, F., Ecoffet, R.: Operational SER calculations on the SAC-C orbit using the multi-scales single event phenomena predictive platform (MUSCA SEP3). IEEE Trans. Nuclear Sci. 56(6), 3032–3042 (2009)

    Article  Google Scholar 

  52. Hughes, H., McMarr, P., Alles, M., Zhang, E., Arutt, C., Doris, B., Liu, D., Southwick, R., Oldiges, P.: Total ionizing dose radiation effects on 14 nm FinFET and SOI UTBB technologies. In: 2015 IEEE Radiation Effects Data Workshop (REDW), pp. 1–6 (2015)

    Google Scholar 

  53. Islam, A., Akram, M.W., Hasan, M.: Variability immune FinFET-based full adder design in subthreshold region. In: 2011 International Conference on Devices and Communications (ICDeCom), pp. 1–5 (2011)

    Google Scholar 

  54. Janakiraman, V., Bharadwaj, A., Visvanathan, V.: Voltage and temperature aware statistical leakage analysis framework using artificial neural networks. IEEE Trans. Comput. Aided Design Integr. Circuits Syst. 29(7), 1056–1069 (2010)

    Article  Google Scholar 

  55. Jiang, X., Guo, S., Wang, R., Wang, X., Cheng, B., Asenov, A., Huang, R.: A device-level characterization approach to quantify the impacts of different random variation sources in FinFET technology. IEEE Electron Device Lett. 37(8), 962–965 (2016)

    Article  Google Scholar 

  56. Karapetyan, S., Kleeberger, V., Schlichtmann, U.: FinFET-based product performance: modeling and evaluation of standard cells in FinFET technologies. Microelectron. Reliab. 61, 30–34 (2016). SI: ICMAT 2015

    Google Scholar 

  57. Khalid, U., Mastrandrea, A., Olivieri, M.: Effect of NBTI/PBTI aging and process variations on write failures in mosfet and FinFET flip-flops. Microelectron. Reliab. 55(12, Part B), 2614–2626 (2015)

    Google Scholar 

  58. Kiamehr, S., Osiecki, T., Tahoori, M., Nassif, S.: Radiation-induced soft error analysis of srams in SOI FinFET technology: A device to circuit approach. In: 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1–6 (2014)

    Google Scholar 

  59. King, M.P., Massey, G., Silva, A., Cannon, E., Shaneyfelt, M.R., Loveless, T., Ballast, J., Cabanas-Holmen, M., DiGregorio, S., Rice, W.C., Draper, B.L., Oldgies, P., Rodbell, K.: TID-Induced Leakage and Drive Characteristics of Planar 22-nm PDSOI and 14-nm Bulk and Quasi-SOI FinFET Devices. Sandia National Lab.(SNL-NM), Albuquerque (2018)

    Google Scholar 

  60. King, M.P., Wu, X., Eller, M., Samavedam, S., Shaneyfelt, M.R., Silva, A.I., Draper, B.L., Rice, W.C., Meisenheimer, T.L., Felix, J.A., Zhang, E.X., Haeffner, T.D., Ball, D.R., Shetler, K.J., Alles, M.L., Kauppila, J.S., Massengill, L.W.: Analysis of TID process, geometry, and bias condition dependence in 14-nm FinFETs and implications for RF and SRAM performance. IEEE Trans. Nuclear Sci. 64(1), 285–292 (2017)

    Article  Google Scholar 

  61. Kleeberger, V.B., Graeb, H., Schlichtmann, U.: Predicting future product performance: Modeling and evaluation of standard cells in FinFET technologies. In: 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1–6 (2013)

    Google Scholar 

  62. Klein, R.: Overview of process variability. In: Proceedings of the ISSCC Microprocessor Forum F6: Transistor Variability Nanometer-Scale Technology, pp. A1–A24 (2008)

    Google Scholar 

  63. Kumar, U.S., Rao, V.R.: Thermal performance of nano-scale SOI and bulk FinFETs. In: 2016 15th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), pp. 1566–1571 (2016)

    Google Scholar 

  64. Lee, Y., Shin, C.: Impact of equivalent oxide thickness on threshold voltage variation induced by work-function variation in multigate devices. IEEE Trans. Electron Devices 64(5), 2452–2456 (2017)

    Article  Google Scholar 

  65. Lee, S., Kim, I., Ha, S., Yu, C., Noh, J., Pae, S., Park, J.: Radiation-induced soft error rate analyses for 14 nm FinFET SRAM devices. In: 2015 IEEE International Reliability Physics Symposium, pp. 4B.1.1–4B.1.4 (2015)

    Google Scholar 

  66. Liden, P., Dahlgren, P., Johansson, R., Karlsson, J.: On latching probability of particle induced transients in combinational networks. In: Proceedings of IEEE 24th International Symposium on Fault- Tolerant Computing, pp. 340–349 (1994)

    Google Scholar 

  67. Liu, Y., Xu, Q.: On modeling faults in FinFET logic circuits. In: 2012 IEEE International Test Conference, pp. 1–9 (2012)

    Google Scholar 

  68. Mavis, D.G., Eaton, P.H.: Soft error rate mitigation techniques for modern microcircuits. In: 2002 IEEE International Reliability Physics Symposium. Proceedings. 40th Annual (Cat. No.02CH37320), pp. 216–225 (2002)

    Google Scholar 

  69. McLellan, P.: FinFET Custom Design (2014). https://semiwiki.com/semiconductor-manufacturers/tsmc/3327-FinFET-custom-design/

  70. Meinhardt, C.: Variabilidade em FinFETs. Thesis (Doutorado em Ciência da Computação) – Instituto de Informática - UFRGS (2014)

    Google Scholar 

  71. Meinhardt, C., Zimpeck, A., Reis, R.: Predictive evaluation of electrical characteristics of sub-22nm FinFET technologies under device geometry variations. Microelectron. Reliab. 54(9), 2319–2324 (2014). SI: ESREF 2014

    Google Scholar 

  72. Meinhardt, C., Zimpeck, A.L., Reis, R.: Impact of gate workfunction fluctuation on FinFET standard cells. In: 2014 21st IEEE International Conference on Electronics, Circuits and Systems (ICECS), pp. 574–577 (2014)

    Google Scholar 

  73. Messenger, G.C.: Collection of charge on junction nodes from ion tracks. IEEE Trans. Nuclear Sci. 29(6), 2024–2031 (1982)

    Article  Google Scholar 

  74. Mèszáros, P., Razzaque, S., Wang, X.Y.: Cosmic Ray Physics (2015). http://personal.psu.edu/nnp/cr.html

  75. Monga, U., Choi, J., Jeon, J., Kwon, U., Lee, K., Choo, S., Uemura, T., Lee, S., Pae, S.: Charge-collection modeling for SER simulation in FinFETs. In: 2016 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), pp. 295–298 (2016)

    Google Scholar 

  76. Moraes, L., Zimpeck, A., Meinhardt, C., Reis, R.: Evaluation of variability using schmitt trigger on full adders layout. Microelectron. Reliab. 88–90, 116–121 (2018). 29th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis ( ESREF 2018 )

    Google Scholar 

  77. Moraes, L.B., Zimpeck, A.L., Meinhardt, C., Reis, R.: Minimum energy FinFET schmitt trigger design considering process variability. In: 2019 IFIP/IEEE 27th International Conference on Very Large Scale Integration (VLSI-SoC), pp. 88–93 (2019)

    Google Scholar 

  78. Munteanu, D., Autran, J.: Modeling and simulation of single-event effects in digital devices and ICS. IEEE Trans. Nuclear Sci. 55(4), 1854–1878 (2008)

    Article  Google Scholar 

  79. Mutlu, A.A., Rahman, M.: Statistical methods for the estimation of process variation effects on circuit operation. IEEE Trans. Electron. Packaging Manuf. 28(4), 364–375 (2005)

    Article  Google Scholar 

  80. Narasimham, B., Chandrasekharan, K., Wang, J.K., Ni, K., Bhuva, B.L., Schrimpf, R.D.: Charge-steering latch design in 16 nm FinFET technology for improved soft error hardness. IEEE Trans. Nuclear Sci. 64(1), 353–358 (2017)

    Article  Google Scholar 

  81. Narasimham, B., Hatami, S., Anvar, A., Harris, D.M., Lin, A., Wang, J.K., Chatterjee, I., Ni, K., Bhuva, B.L., Schrimpf, R.D., Reed, R.A., McCurdy, M.W.: Bias dependence of single-event upsets in 16 nm FinFET d-flip-flops. IEEE Trans. Nuclear Sci. 62(6), 2578–2584 (2015)

    Article  Google Scholar 

  82. Nassif, S.R.: Process variability at the 65nm node and beyond. In: 2008 IEEE Custom Integrated Circuits Conference, pp. 1–8 (2008)

    Google Scholar 

  83. Nawaz, S.M., Mallik, A.: Effects of device scaling on the performance of junctionless FinFETs due to gate-metal work function variability and random dopant fluctuations. IEEE Electron Device Lett. 37(8), 958–961 (2016)

    Article  Google Scholar 

  84. Neuberger, G., Wirth, G., Reis, R.: Protecting Chips Against Hold Time Violations Due to Variability. Springer, Dordrecht (2014)

    Book  Google Scholar 

  85. Nsengiyumva, P., Ball, D.R., Kauppila, J.S., Tam, N., McCurdy, M., Holman, W.T., Alles, M.L., Bhuva, B.L., Massengill, L.W.: A comparison of the SEU response of planar and FinFET D flip-flops at advanced technology nodes. IEEE Trans. Nuclear Sci. 63(1), 266–272 (2016)

    Article  Google Scholar 

  86. Nsengiyumva, P., Massengill, L.W., Alles, M.L., Bhuva, B.L., Ball, D.R., Kauppila, J.S., Haeffner, T.D., Holman, W.T., Reed, R.A.: Analysis of bulk FinFET structural effects on single-event cross sections. IEEE Trans. Nuclear Sci. 64(1), 441–448 (2017)

    Article  Google Scholar 

  87. O’Bryan, M.: Radiation Effects and Analysis: Single Event Effects (2000). https://radhome.gsfc.nasa.gov/radhome/see.htm

  88. Orshansky, M., Nassif, S., Boning, D.: Design for Manufacturability and Statistical Design. Springer, Berlin (2008)

    Google Scholar 

  89. Othman, N.A.F., Hatta, S.F.W.M., Soin, N.: Impacts of fin width scaling on the electrical characteristics of 10-nm FinFET at different metal gate work function. In: 2017 IEEE Regional Symposium on Micro and Nanoelectronics (RSM), pp. 256–259 (2017)

    Google Scholar 

  90. Posser, G., Belomo, J., Meinhardt, C., Reis, R.: Performance improvement with dedicated transistor sizing for mosfet and FinFET devices. In: 2014 IEEE Computer Society Annual Symposium on VLSI, pp. 418–423 (2014)

    Google Scholar 

  91. Posser, G., Sapatnekar, S.S., Reis, R.: Electromigration Inside Logic Cells: Modeling, Analyzing and Mitigating Signal Electromigration in NanoCMOS. Springer International Publishing, New York (2017)

    Book  Google Scholar 

  92. Rasouli, S.H., Endo, K., Banerjee, K.: Variability analysis of FinFET-based devices and circuits considering electrical confinement and width quantization. In: 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers, pp. 505–512 (2009)

    Google Scholar 

  93. Rathore, R.S., Rana, A.K., Sharma, R.: Threshold voltage variability induced by statistical parameters fluctuations in nanoscale bulk and SOI FinFETs. In: 2017 4th International Conference on Signal Processing, Computing and Control (ISPCC), pp. 377–380 (2017)

    Google Scholar 

  94. Rathore, R.S., Sharma, R., Rana, A.K.: Impact of work function fluctuations on threshold voltage variability in a nanoscale FinFETs. In: 2016 IEEE International Symposium on Nanoelectronic and Information Systems (iNIS), pp. 261–263 (2016)

    Google Scholar 

  95. Rieger, M.L.: Communication theory in optical lithography. J. Micro/Nanolith. MEMS MOEMS 11(1), 1–11 (2012)

    Article  Google Scholar 

  96. Roche, P., Autran, J., Gasiot, G., Munteanu, D.: Technology downscaling worsening radiation effects in bulk: SOI to the rescue. In: 2013 IEEE International Electron Devices Meeting, pp. 31.1.1–31.1.4 (2013)

    Google Scholar 

  97. Saha, S.K.: Modeling process variability in scaled CMOS technology. IEEE Design Test Comput. 27(2), 8–16 (2010)

    Article  Google Scholar 

  98. Saha, R., Bhowmick, B., Baishya, S.: Si and Ge step-FinFETs: work function variability, optimization and electrical parameters. Superlattices Microstruct. 107, 5–16 (2017)

    Article  Google Scholar 

  99. Sayil, S.: Soft Error Mechanisms, Modeling and Mitigation, pp. 1–105. Springer International Publishing, New York (2016)

    Google Scholar 

  100. Schrimpf, R.D., Alles, M.A., Mamouni, F.E., Fleetwood, D.M., Weller, R.A., Reed, R.A.: Soft errors in advanced CMOS technologies. In: 2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology, pp. 1–4 (2012)

    Google Scholar 

  101. Seifert, N., Gill, B., Jahinuzzaman, S., Basile, J., Ambrose, V., Shi, Q., Allmon, R., Bramnik, A.: Soft error susceptibilities of 22 nm tri-gate devices. IEEE Trans. Nuclear Sci. 59(6), 2666–2673 (2012)

    Article  Google Scholar 

  102. Seifert, N., Jahinuzzaman, S., Velamala, J., Ascazubi, R., Patel, N., Gill, B., Basile, J., Hicks, J.: Soft error rate improvements in 14-nm technology featuring second-generation 3d tri-gate transistors. IEEE Trans. Nuclear Sci. 62(6), 2570–2577 (2015)

    Article  Google Scholar 

  103. Sexton, F.W.: Destructive single-event effects in semiconductor devices and ICS. IEEE Trans. Nuclear Sci. 50(3), 603–621 (2003)

    Article  Google Scholar 

  104. Sherlekar, D.D.: Design considerations for regular fabrics. In: Proceedings of the 2004 International Symposium on Physical Design, ISPD ’04, p. 97–102. Association for Computing Machinery (2004)

    Google Scholar 

  105. Shin, C., Kim, J., Kim, G., Lee, H., Shin, C., Kim, J., Cho, B.J., Yu, H.: Random dopant fluctuation-induced threshold voltage variation-immune Ge FinFET with metal–interlayer–semiconductor source/drain. IEEE Trans. Electron Devices 63(11), 4167–4172 (2016)

    Article  Google Scholar 

  106. Shivakumar, P., Kistler, M., Keckler, S.W., Burger, D., Alvisi, L.: Modeling the effect of technology trends on the soft error rate of combinational logic. In: Proceedings International Conference on Dependable Systems and Networks, pp. 389–398 (2002)

    Google Scholar 

  107. Siegle, F., Vladimirova, T., Ilstad, J., Emam, O.: Mitigation of radiation effects in SRAM-based FPGAs for space applications. ACM Comput. Surv. 47(2) (2015)

    Google Scholar 

  108. Simionovski, A.: Sensor de corrente transiente para detecção do set com célula de memória dinâmica. Dissertation (Master) – Engenharia Elétrica, UFRGS (2012)

    Google Scholar 

  109. Srour, J.R., Palko, J.W.: Displacement damage effects in irradiated semiconductor devices. IEEE Trans. Nuclear Sci. 60(3), 1740–1766 (2013)

    Article  Google Scholar 

  110. Swahn, B., Hassoun, S., Alam, S., Botha, D., Vidyarthi, A.: Thermal Analysis of FinFETs and Its Application to Gate Sizing (2005). http://www.tauworkshop.com/PREVIOUS_/05_Slides/tau05-3.1.pdf

  111. Swahn, B., Hassoun, S.: Gate sizing: FinFETs vs 32nm bulk MOSFETs. In: 2006 43rd ACM/IEEE Design Automation Conference, pp. 528–531 (2006)

    Google Scholar 

  112. Thakker, R.A., Sathe, C., Baghini, M.S., Patil, M.B.: A table-based approach to study the impact of process variations on FinFET circuit performance. IEEE Trans. Comput. Aided Design Integr. Circuits Syst. 29(4), 627–631 (2010)

    Article  Google Scholar 

  113. Tomida, K., Hiraga, K., Dehan, M., Hellings, G., Jang, D., Miyaguhi, K., Chiarella, T., Kim, M., Mocuta, A., Horiguchi, N., Mercha, A., Verkest, D., Thean, A.: Impact of fin shape variability on device performance towards 10nm node. In: 2015 International Conference on IC Design Technology (ICICDT), pp. 1–4 (2015)

    Google Scholar 

  114. Toure, G., Hubert, G., Castellani-Coulie, K., Duzellier, S., Portal, J.: Simulation of single and multi-node collection: Impact on SEU occurrence in nanometric sram cells. IEEE Trans. Nuclear Sci. 58(3), 862–869 (2011)

    Article  Google Scholar 

  115. Uemura, T., Lee, S., Kim, G., Pae, S.: Investigation of logic soft error and scaling effect in 10 nm FinFET technology. In: 2017 IEEE International Reliability Physics Symposium (IRPS), pp. 2E–3.1–2E–3.4 (2017)

    Google Scholar 

  116. Uemura, T., Lee, S., Park, J., Pae, S., Lee, H.: Investigation of logic circuit soft error rate (SER) in 14nm FinFET technology. In: 2016 IEEE International Reliability Physics Symposium (IRPS), pp. 3B–4–1–3B–4–4 (2016)

    Google Scholar 

  117. Velazco, R., Fouillat, P., Reis, R. (Eds.): Radiation Effects on Embedded Systems, pp. 1–269. Springer Nature, London (2007)

    Google Scholar 

  118. Wang, X., Brown, A.R., Binjie Cheng, Asenov, A.: Statistical variability and reliability in nanoscale FinFETs. In: 2011 International Electron Devices Meeting, pp. 5.4.1–5.4.4 (2011)

    Google Scholar 

  119. Wang, X., Cheng, B., Brown, A.R., Millar, C., Alexander, C., Reid, D., Kuang, J.B., Nassif, S., Asenov, A.: Unified compact modelling strategies for process and statistical variability in 14-nm node DG FinFETs. In: 2013 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), pp. 139–142 (2013)

    Google Scholar 

  120. Wang, Y., Cotofana, S.D., Fang, L.: Statistical reliability analysis of NBTI impact on FinFET SRAMs and mitigation technique using independent-gate devices. In: 2012 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), pp. 109–115 (2012)

    Google Scholar 

  121. Wei, X., Zhu, H., Zhang, Y., Zhao, C.: Bulk FinFETs with body spacers for improving fin height variation. Solid-State Electron. 122, 45–51 (2016)

    Article  Google Scholar 

  122. Wrobel, F., Dilillo, L., Touboul, A.D., Pouget, V., Saigné, F.: Determining realistic parameters for the double exponential law that models transient current pulses. IEEE Trans. Nuclear Sci. 61(4), 1813–1818 (2014)

    Article  Google Scholar 

  123. Zhang, E.X., Fleetwood, D.M., Hachtel, J.A., Liang, C., Reed, R.A., Alles, M.L., Schrimpf, R.D., Linten, D., Mitard, J., Chisholm, M.F., Pantelides, S.T.: Total ionizing dose effects on strained Ge pMOS FinFETs on bulk Si. IEEE Trans. Nuclear Sci. 64(1), 226–232 (2017)

    Article  Google Scholar 

  124. Zhang, H., Jiang, H., Assis, T.R., Ball, D.R., Narasimham, B., Anvar, A., Massengill, L.W., Bhuva, B.L.: Angular effects of heavy-ion strikes on single-event upset response of flip-flop designs in 16-nm bulk FinFET technology. IEEE Trans. Nuclear Sci. 64(1), 491–496 (2017)

    Article  Google Scholar 

  125. Zhang, H., Jiang, H., Assis, T.R., Ball, D.R., Ni, K., Kauppila, J.S., Schrimpf, R.D., Massengill, L.W., Bhuva, B.L., Narasimham, B., Hatami, S., Anvar, A., Lin, A., Wang, J.K.: Temperature dependence of soft-error rates for FF designs in 20-nm bulk planar and 16-nm bulk FinFET technologies. In: 2016 IEEE International Reliability Physics Symposium (IRPS), pp. 5C–3–1–5C–3–5 (2016)

    Google Scholar 

  126. Zimpeck, A., Meinhardt, C., Reis, R.: Impact of PVT variability on 20nm FinFET standard cells. Microelectron. Reliab. 55(9), 1379–1383 (2015). Proceedings of the 26th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis

    Google Scholar 

  127. Zimpeck, A.L., Aguiar, Y., Meinhardt, C., Reis, R.: Geometric variability impact on 7nm trigate combinational cells. In: 2016 IEEE International Conference on Electronics, Circuits and Systems (ICECS), pp. 9–12 (2016)

    Google Scholar 

  128. Zimpeck, A.L., Aguiar, Y., Meinhardt, C., Reis, R.: Robustness ofs sub-22nm multigate devices against physical variability. In: 2017 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1–4 (2017)

    Google Scholar 

  129. Zimpeck, A.L., Meinhardt, C., Posser, G., Reis, R.: Process variability in FinFET standard cells with different transistor sizing techniques. In: 2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS), pp. 121–124 (2015)

    Google Scholar 

  130. Zimpeck, A.L., Meinhardt, C., Posser, G., Reis, R.: FinFET cells with different transistor sizing techniques against PVT variations. In: 2016 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 45–48 (2016)

    Google Scholar 

  131. Zimpeck, A.L., Meinhardt, C., Reis, R.: Evaluating the impact of environment and physical variability on the iON current of 20nm FinFET devices. In: 2014 24th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp. 1–8 (2014)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2021 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Zimpeck, A., Meinhardt, C., Artola, L., Reis, R. (2021). Reliability Challenges in FinFETs. In: Mitigating Process Variability and Soft Errors at Circuit-Level for FinFETs. Springer, Cham. https://doi.org/10.1007/978-3-030-68368-9_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-68368-9_3

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-68367-2

  • Online ISBN: 978-3-030-68368-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics