Skip to main content

Abstract

This chapter begins by presenting the challenges imposed by technology scaling and justifying the need for new devices for ensuring the microelectronics advancement of sub-22nm nodes. FinFET devices were pointed out as the best candidate to maintain the technology scaling. Then, this chapter presents the main parameters, configurations, and properties of these devices. Then, this chapter discusses the semiconductor industrys advancement, highlighting the main achievements until now and the trends for the coming years. The next step is dedicated to presenting the layout issues for designs using FinFET technologies, showing the main differences of the planar node layouts. Finally, this chapter also details some characteristics of sub-22nm predictive models and process design kits available for academic use.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 59.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 79.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Agostinelli, M., Alioto, M., Esseni, D., Selmi, L.: Design and evaluation of mixed 3t-4t FinFET stacks for leakage reduction. In: Svensson, L., Monteiro, J. (eds.) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, pp. 31–41. Springer, Berlin (2009)

    Chapter  Google Scholar 

  2. Alioto, M.: Analysis of layout density in FinFET standard cells and impact of fin technology. In: Proceedings of 2010 IEEE International Symposium on Circuits and Systems, pp. 3204–3207 (2010)

    Google Scholar 

  3. Alioto, M.: Comparative evaluation of layout density in 3t, 4t, and MT FinFET standard cells. IEEE Trans. Very Large Scale Integr. Syst. 19(5), 751–762 (2011)

    Article  Google Scholar 

  4. Anil, K.G., Henson, K., Biesemans, S., Collaert, N.: Layout density analysis of FinFETs. In: ESSDERC ’03. 33rd Conference on European Solid-State Device Research, 2003, pp. 139–142 (2003)

    Google Scholar 

  5. Auth, C.: 22-nm fully-depleted tri-gate CMOS transistors. In: Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, pp. 1–6 (2012)

    Google Scholar 

  6. Autran, J., Munteanu, D.: Soft Errors: From Particles to Circuits. Devices, Circuits, and systems. CRC Press, Boca Raton (2015)

    Book  Google Scholar 

  7. Bhanushali, K., Davis, W.R.: Freepdk15: An open-source predictive process design kit for 15nm FinFET technology. In: Proceedings of the 2015 Symposium on International Symposium on Physical Design, p. 165–170. Association for Computing Machinery, New York (2015)

    Google Scholar 

  8. Bhattacharya, D., Jha, N.K.: FinFETs: from devices to architectures. Adv. Electron. 2014, 1–21 (2014)

    Article  Google Scholar 

  9. Borremans, J., Parvais, B., Dehan, M., Thijs, S., Wambacq, P., Mercha, A., Kuijk, M., Carchon, G., Decoutere, S.: Perspective of RF design in future planar and FinFET CMOS. In: 2008 IEEE Radio Frequency Integrated Circuits Symposium, pp. 75–78 (2008)

    Google Scholar 

  10. Boukortt, N., Hadri, B., Pataně, S., Caddemi, A., Crupi, G.: Electrical Characteristics of 8-nm SOI n-FinFETs. Springer, Berlin (2016)

    Book  Google Scholar 

  11. Cartwright, J.: Intel Enters the Third Dimension (2011). https://www.nature.com/articles/news.2011.274

  12. Chang, J.B., Guillorn, M., Solomon, P.M., Lin, C.., Engelmann, S.U., Pyzyna, A., Ott, J.A., Haensch, W.E.: Scaling of SOI FinFETs down to fin width of 4 nm for the 10nm technology node. In: 2011 Symposium on VLSI Technology - Digest of Technical Papers, pp. 12–13 (2011)

    Google Scholar 

  13. Chaudhuri, S., Mishra, P., Jha, N.K.: Accurate leakage estimation for FinFET standard cells using the response surface methodology. In: 2012 25th International Conference on VLSI Design, pp. 238–244 (2012)

    Google Scholar 

  14. Chauhan, Y.S., Lu, D.D., Vanugopalan, S., Khandelwal, S., Duarte, J.P., Paydavosi, N., Niknejad, A., Hu, C.: Chapter 11 - BSIM-CMG model parameter extraction. In: FinFET Modeling for IC Simulation and Design, pp. 231–243. Academic, Cambridge (2015)

    Google Scholar 

  15. Clark, L.T., Vashishtha, V., Shifren, L., Gujja, A., Sinha, S., Cline, B., Ramamurthy, C., Yeric, G.: ASAP7: A 7-nm FinFET predictive process design kit. Microelectron. J. 53, 105–115 (2016)

    Article  Google Scholar 

  16. Colinge, J.P. (ed.): FinFETs and Other Multi-Gate Transistors. Springer, Berlin (2008)

    Google Scholar 

  17. Conley, A.: FinFET vs. FD-SOI: Key Advantages & Disadvantages (2014). http://www.chipex.co.il/_Uploads/dbsAttachedFiles/ChipExAMAT.pdf

  18. Cui, T., Li, J., Wang, Y., Nazarian, S., Pedram, M.: An exploration of applying gate-length-biasing techniques to deeply-scaled FinFETs operating in multiple voltage regimes. IEEE Trans. Emerg. Topics Comput. 6(2), 172–183 (2018). https://doi.org/10.1109/TETC.2016.2640185

    Article  Google Scholar 

  19. Cui, T., Xie, Q., Wang, Y., Nazarian, S., Pedram, M.: 7nm FinFET standard cell layout characterization and power density prediction in near- and super-threshold voltage regimes. In: International Green Computing Conference, pp. 1–7 (2014)

    Google Scholar 

  20. Dančak, C.: The FinFET: A Tutorial, pp. 37–69. Springer International Publishing, Cham (2018)

    Google Scholar 

  21. Datta, A., Goel, A., Cakici, R.T., Mahmoodi, H., Lekshmanan, D., Roy, K.: Modeling and circuit synthesis for independently controlled double gate FinFET devices. IEEE Trans. Comput. Aided Design Integr. Circuits Syst. 26(11), 1957–1966 (2007)

    Article  Google Scholar 

  22. Ding, Y., Chu, C., Mak, W.: Detailed routing for spacer-is-metal type self-aligned double/quadruple patterning lithography. In: 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1–6 (2015)

    Google Scholar 

  23. Doris, B., Cheng, K., Khakifirooz, A., Liu, Q., Vinet, M.: Device design considerations for next generation cmos technology: Planar FDSOI and FinFET (invited). In: 2013 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), pp. 1–2 (2013)

    Google Scholar 

  24. Frank, D.J., Dennard, R.H., Nowak, E., Solomon, P.M., Taur, Y., Hon-Sum Philip Wong: Device scaling limits of Si MOSFETs and their application dependencies. Proc. IEEE 89(3), 259–288 (2001)

    Article  Google Scholar 

  25. Frumusanu, A.: TSMC Details 3nm Process Technology: Full Node Scaling for 2h22 Volume Production (2020). https://www.anandtech.com/show/16024/tsmc-details-3nm-process-technology-details-full-node-scaling-for-2h22

  26. Ghaida, R.S., Agarwal, K.B., Nassif, S.R., Yuan, X., Liebmann, L.W., Gupta, P.: Layout decomposition and legalization for double-patterning technology. IEEE Trans. Comput. Aided Design Integr. Circuits Syst. 32(2), 202–215 (2013)

    Article  Google Scholar 

  27. Gu, J., Keane, J., Sapatnekar, S., Kim, C.H.: Statistical leakage estimation of double gate FinFET devices considering the width quantization property. IEEE Trans. Very Large Scale Integr. Syst. 16(2), 206–209 (2008)

    Article  Google Scholar 

  28. Guillorn, M., Chang, J., Bryant, A., Fuller, N., Dokumaci, O., Wang, X., Newbury, J., Babich, K., Ott, J., Haran, B., Yu, R., Lavoie, C., Klaus, D., Zhang, Y., Sikorski, E., Graham, W., To, B., Lofaro, M., Tornello, J., Koli, D., Yang, B., Pyzyna, A., Neumeyer, D., Khater, M., Yagishita, A., Kawasaki, H., Haensch, W.: FinFET performance advantage at 22nm: An AC perspective. In: 2008 Symposium on VLSI Technology, pp. 12–13 (2008)

    Google Scholar 

  29. Hibben, M.: TSMC, Not Intel, has the Lead in Semiconductor Processes (2018). https://seekingalpha.com/article/4151376-tsmc-not-intel-lead-in-semiconductor-processes

  30. Hisamoto, D., Kaga, T., Kawamoto, Y., Takeda, E.: A fully depleted lean-channel transistor (delta)-a novel vertical ultra thin SOI MOSFET. In: International Technical Digest on Electron Devices Meeting, pp. 833–836 (1989)

    Google Scholar 

  31. Hisamoto, D., Lee, W.-C., Kedzierski, J., Takeuchi, H., Asano, K., Kuo, C., Anderson, E., King, T.-J., Bokor, J., Hu, C.: FinFET-a self-aligned double-gate mosfet scalable to 20 nm. IEEE Trans. Electron Devices 47(12), 2320–2325 (2000)

    Article  Google Scholar 

  32. Hu, C.: New sub-20nm transistors – why and how. In: 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 460–463 (2011)

    Google Scholar 

  33. Intel: Intel’s 10nm Technology: Delivering the Highest Logic Transistor Density in the Industry Through the Use of Hyper Scaling (2017). https://newsroom.intel.com/newsroom/wp-content/uploads/sites/11/2017/09/10-nm-icf-fact-sheet.pdf

  34. ITRS: The International Technology Roadmap for Semiconductors (2011). http://www.itrs2.net/2011-itrs.html

  35. James, D.: Intel to present on 22-nm Tri-gate technology at VLSI symposium (2012). https://sst.semiconductor-digest.com/chipworks_real_chips_blog/2012/04/12/intel-to-present-on-22-nm-tri-gate-technology-at-vlsi-symposium/

  36. Kawa, J.: FinFET design, manufacturability, and reliability (2013). https://www.synopsys.com/designware-ip/technical-bulletin/FinFET-design.html

  37. King, T.-J.: FinFETs for nanoscale CMOS digital integrated circuits. In: ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005., pp. 207–210 (2005)

    Google Scholar 

  38. Kleeberger, V.B., Graeb, H., Schlichtmann, U.: Predicting future product performance: Modeling and evaluation of standard cells in FinFET technologies. In: 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1–6 (2013)

    Google Scholar 

  39. Kuhn, K.J., Giles, M.D., Becher, D., Kolar, P., Kornfeld, A., Kotlyar, R., Ma, S.T., Maheshwari, A., Mudanai, S.: Process technology variation. IEEE Trans. Electron Devices 58(8), 2197–2208 (2011)

    Article  Google Scholar 

  40. Kumar, V., Kirubaraj, A.: Submicron 70nm CMOS logic design with FinFETs. Int. J. Eng. Sci. Technol. 2, 4751–4758 (2010)

    Google Scholar 

  41. Liu, Y., Li, Y.: Aspherical surfaces design for extreme ultraviolet lithographic objective with correction of thermal aberration. Optical Eng. 55(9), 1–6 (2016)

    Article  Google Scholar 

  42. McLellan, P.: FinFET Custom Design (2014). https://semiwiki.com/semiconductor-manufacturers/tsmc/3327-FinFET-custom-design/

  43. Meinhardt, C.: Variabilidade em FinFETs. Thesis (Doutorado em Ciência da Computação) – Instituto de Informática - UFRGS (2014)

    Google Scholar 

  44. Mentor: As Nodes Advance, So Must Power Analysis (2014). https://semiengineering.com/as-nodes-advance-so-must-power-analysis/

  45. Mishra, P., Muttreja, A., Jha, N.K.: Nanoelectronic Circuit Design, pp. 23–54. Springer, New York (2011)

    Google Scholar 

  46. Moore, G.E.: Cramming more components onto integrated circuits, reprinted from electronics, volume 38, number 8, april 19, 1965, pp.114 ff. IEEE Solid-State Circuits Soc. Newslett. 11(3), 33–35 (2006)

    Google Scholar 

  47. Muttreja, A., Agarwal, N., Jha, N.K.: CMOS logic design with independent-gate FinFETs. In: 2007 25th International Conference on Computer Design, pp. 560–567 (2007)

    Google Scholar 

  48. Nowak, E.J., Aller, I., Ludwig, T., Kim, K., Joshi, R.V., Ching-Te Chuang, Bernstein, K., Puri, R.: Turning silicon on its edge [double gate CMOS/FinFET technology]. IEEE Circuits Devices Mag. 20(1), 20–31 (2004)

    Google Scholar 

  49. Nowak, E.J., Rainey, B.A., Fried, D.M., Kedzierski, J., Ieong, M., Leipold, W., Wright, J., Breitwisch, M.: A functional FinFET-DGCMOS sram cell. In: Digest. International Electron Devices Meeting, pp. 411–414 (2002)

    Google Scholar 

  50. Park, J.-T., Colinge, J., Diaz, C.H.: Pi-gate SOI MOSFET. IEEE Electron Device Lett. 22(8), 405–406 (2001)

    Article  Google Scholar 

  51. Poljak, M., Jovanovic, V., Suligoj, T.: SOI vs. bulk FinFET: Body doping and corner effects influence on device characteristics. In: MELECON 2008 - The 14th IEEE Mediterranean Electrotechnical Conference, pp. 425–430 (2008)

    Google Scholar 

  52. Posser, G., Belomo, J., Meinhardt, C., Reis, R.: Performance improvement with dedicated transistor sizing for mosfet and FinFET devices. In: 2014 IEEE Computer Society Annual Symposium on VLSI, pp. 418–423 (2014)

    Google Scholar 

  53. Pradhan, K.P., Sahu, P.K., Ranjan, R.: Investigation on asymmetric dual-k spacer (ads) trigate wavy FinFET: A novel device. In: 2016 3rd International Conference on Devices, Circuits and Systems (ICDCS), pp. 137–140 (2016)

    Google Scholar 

  54. PTM: Predictive Technological Model (2012). http://ptm.asu.edu/

  55. Rainey, B.A., Fried, D.M., Ieong, M., Kedzierski, J., Nowak, E.J.: Demonstration of FinFET CMOS circuits. In: 60th DRC. Conference Digest Device Research Conference, pp. 47–48 (2002)

    Google Scholar 

  56. Ranjan, A.: Physical Verification of FinFET and FD-SOI Devices (2013). https://www.techdesignforums.com/practice/technique/physical-verification-design-FinFET-fd-soi/

  57. Ranjan, A.: Micro-Architectural Exploration for Low Power Design (2015). https://semiengineering.com/micro-architectural-exploration-for-low-power-design/

  58. Rieger, M.L.: Communication theory in optical lithography. J. Micro/Nanolith. MEMS MOEMS 11(1), 1–11 (2012)

    Article  Google Scholar 

  59. Rostami, M., Mohanram, K.: Dual-v th independent-gate FinFETs for low power logic circuits. IEEE Trans. Computer-Aided Design Integr. Circuits Syst. 30(3), 337–349 (2011)

    Article  Google Scholar 

  60. Roy, K., Mahmoodi, H., Mukhopadhyay, S., Ananthan, H., Bansal, A., Cakici, T.: Double-gate SOI devices for low-power and high-performance applications. In: 19th International Conference on VLSI Design Held Jointly with 5th International Conference on Embedded Systems Design (VLSID’06), pp. 8 pp.– (2006)

    Google Scholar 

  61. Saha, R., Bhowmick, B., Baishya, S.: Si and Ge step-FinFETs: work function variability, optimization and electrical parameters. Superlattices Microstruct. 107, 5–16 (2017)

    Article  Google Scholar 

  62. Sairam, T., Zhao, W., Cao, Y.: Optimizing FinFET technology for high-speed and low-power design. In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI, GLSVLSI ’07, p. 73–77. Association for Computing Machinery (2007)

    Google Scholar 

  63. Samsung: Samsung Launches Premium Exynos 9 Series Processor Built on the World’s First 10nm FinFET Process Technology (2017). https://news.samsung.com/global/samsung-launches-premium-exynos-9-series-processor-built-on-the-worlds-first-10nm-FinFET-process-technology

  64. Seifert, N., Jahinuzzaman, S., Velamala, J., Ascazubi, R., Patel, N., Gill, B., Basile, J., Hicks, J.: Soft error rate improvements in 14-nm technology featuring second-generation 3d tri-gate transistors. IEEE Trans. Nuclear Sci. 62(6), 2570–2577 (2015)

    Article  Google Scholar 

  65. Sekigawa, T., Hayashi, Y.: Calculated threshold-voltage characteristics of an XMOS transistor having an additional bottom gate. Solid State Electron. 27(8–9), 827–828 (1984)

    Article  Google Scholar 

  66. Sicard, E.: Introducing 14-nm FinFET Technology in Microwind (2017). https://hal.archives-ouvertes.fr/hal-01541171/document

  67. Simsir, M.O., Bhoj, A., Jha, N.K.: Fault modeling for FinFET circuits. In: 2010 IEEE/ACM International Symposium on Nanoscale Architectures, pp. 41–46 (2010)

    Google Scholar 

  68. Singh, N., Agarwal, A., Bera, L.K., Liow, T.Y., Yang, R., Rustagi, S.C., Tung, C.H., Kumar, R., Lo, G.Q., Balasubramanian, N., Kwong, D..: High-performance fully depleted silicon nanowire (diameter /spl les/ 5 nm) gate-all-around CMOS devices. IEEE Electron Device Lett. 27(5), 383–386 (2006)

    Article  Google Scholar 

  69. Sinha, S., Yeric, G., Chandra, V., Cline, B., Cao, Y.: Exploring sub-20nm FinFET design with predictive technology models. In: DAC Design Automation Conference 2012, pp. 283–288 (2012)

    Google Scholar 

  70. Skotnicki, T., Hutchby, J.A., Tsu-Jae King, Wong, H..P., Boeuf, F.: The end of CMOS scaling: toward the introduction of new materials and structural changes to improve mosfet performance. IEEE Circuits Devices Mag. 21(1), 16–26 (2005)

    Google Scholar 

  71. Solomon, P.M., Guarini, K.W., Zhang, Y., Chan, K., Jones, E.C., Cohen, G.M., Krasnoperova, A., Ronay, M., Dokumaci, O., Hovel, H.J., Bucchignano, J.J., Cabral, C., Lavoie, C., Ku, V., Boyd, D.C., Petrarca, K., Yoon, J.H., Babich, I.V., Treichler, J., Kozlowski, P.M., Newbury, J.S., D’Emic, C.P., Sicina, R.M., Benedict, J., Wong, H..P.: Two gates are better than one [double-gate mosfet process]. IEEE Circuits Devices Mag. 19(1), 48–62 (2003)

    Article  Google Scholar 

  72. Subramaniana, V., Parvais, B., Borremans, J., Mercha, A., Linten, D., Wambacq, P., Loo, J., Dehan, M., Collaert, N., Kubicek, S., Lander, R.J.P., Hooker, J.C., Cubaynes, F.N., Donnay, S., Jurczak, M., Groeseneken, G., Sansen, W., Decoutere, S.: Device and circuit-level analog performance trade-offs: A comparative study of planar bulk FETs versus FinFETs. In: IEEE International Electron Devices Meeting, 2005. IEDM Technical Digest., pp. 898–901 (2005)

    Google Scholar 

  73. Swahn, B., Hassoun, S.: Gate sizing: FinFETs vs 32nm bulk MOSFETs. In: 2006 43rd ACM/IEEE Design Automation Conference, pp. 528–531 (2006)

    Google Scholar 

  74. Tang, S.H., Chang, L., Lindert, N., Choi, Y.-K., Lee, W.-C., Huang, X., Subramanian, V., Bokor, J., King, T.-J., Hu, C.: FinFET-a quasi-planar double-gate MOSFET. In: 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177), pp. 118–119 (2001)

    Google Scholar 

  75. Trivedi, V.P., Fossum, J.G., Zhang, W.: Threshold voltage and bulk inversion effects in nonclassical CMOS devices with undoped ultra-thin bodies. Solid State Electron. 51(1), 170–178 (2007)

    Article  Google Scholar 

  76. Wambacq, P., Verbruggen, B., Scheir, K., Borremans, J., De Heyn, V., Van der Plas, G., Mercha, A., Parvais, B., Subramanian, V., Jurczak, M., Decoutere, S., Donnay, S.: Analog and RF circuits in 45 nm CMOS and below: Planar bulk versus FinFET. In: 2006 Proceedings of the 32nd European Solid-State Circuits Conference, pp. 54–57 (2006)

    Google Scholar 

  77. Wilson, D., Hayhurst, R., Oblea, A., Parke, S., Hackler, D.: Flexfet: Independently-double-gated SOI transistor with variable Vt and 0.5 V operation achieving near ideal subthreshold slope. In: 2007 IEEE International SOI Conference, pp. 147–148 (2007)

    Google Scholar 

  78. Wimer, S.: Planar CMOS to multi-gate layout conversion for maximal fin utilization. Integration 47(1), 115–122 (2014)

    Article  Google Scholar 

  79. Yang, F.L., Chen, H.Y., Chen, F.C., Huang, C.C., Chang, C.Y., Chiu, H.K., Lee, C.C., Chen, C.C., Huang, H.T., Chen, C.J., Tao, H.J., Yeo, Y.-C., Liang, M.-S., Hu, C.: 25nm CMOS omega FETs. In: Digest. International Electron Devices Meeting, pp. 255–258 (2002)

    Google Scholar 

  80. Yu, B., Chang, L., Ahmed, S., Wang, H., Bell, S., Yang, C.-Y., Tabery, C., Ho, C., Xiang, Q., King, T.-J., Bokor, J., Hu, C., Lin, M.-R., Kyser, D.: FinFET scaling to 10 nm gate length. In: Digest. International Electron Devices Meeting, pp. 251–254 (2002)

    Google Scholar 

  81. Zarei, M.Y., Asadpour, R., Mohammadi, S., Afzali-Kusha, A., Seyyedi, R.: Modeling symmetrical independent gate FinFET using predictive technology model. In: Proceedings of the 23rd ACM International Conference on Great Lakes Symposium on VLSI, GLSVLSI ’13, p. 299–304. Association for Computing Machinery (2013)

    Google Scholar 

  82. Zhang, B.: FinFET standard cell optimization for performance and manufacturability. UT Electronic Theses and Dissertations (2012)

    Google Scholar 

  83. Zhang, W., Fossum, J.G., Mathew, L., Du, Y.: Physical insights regarding design and performance of independent-gate FinFETs. IEEE Trans. Electron Devices 52(10), 2198–2206 (2005)

    Article  Google Scholar 

  84. Zhao, W., Cao, Y.: New generation of predictive technology model for sub-45nm design exploration. In: 7th International Symposium on Quality Electronic Design (ISQED’06), pp. 6 pp.–590 (2006)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2021 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Zimpeck, A., Meinhardt, C., Artola, L., Reis, R. (2021). FinFET Technology. In: Mitigating Process Variability and Soft Errors at Circuit-Level for FinFETs. Springer, Cham. https://doi.org/10.1007/978-3-030-68368-9_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-68368-9_2

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-68367-2

  • Online ISBN: 978-3-030-68368-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics