Skip to main content

Decomposition of Functions Described Using BDD

  • Chapter
  • First Online:
Technology Mapping for LUT-Based FPGA

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 713))

  • 616 Accesses

Abstract

Representation of functions in the form of BDD forces the necessity of introducing appropriate algorithms of decomposition.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    © Reprinted from Kubica et al. [5], Copyright (2020), with permission from Elsevier.

  2. 2.

    © Reprinted from Opara et al. [9], Copyright (2020), with permission from Elsevier.

References

  1. Chang S, Marek-Sadowska M, Hwang T (1996) Technology mapping for TLU FPGA’s based on decomposition of binary decision diagrams. IEEE Trans Comput-Aided Des 15(10):1226–1235

    Article  Google Scholar 

  2. Cheng L, Chen D, Wong M (2008) DDBDD: Delay-driven BDD synthesis for FPGAs. IEEE Trans Comput Aided Des Integr Circuits Syst 27(7):12003–21213

    Article  Google Scholar 

  3. Cong J, Ding Y (1994) FlowMap: an optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs. IEEE Trans Comput Aided Des Integr Circuits Syst 1–12

    Google Scholar 

  4. Kubica M, Kania D (2016) SMTBDD: new form of BDD for logic synthesis. Int J Electron Telecommun 62(1):33–41

    Article  Google Scholar 

  5. Kubica M, Opara A, Kania D (2017) Logic synthesis for FPGAs based on cutting of BDD. Microprocess Microsyst 52:173–187

    Article  Google Scholar 

  6. Lai Y, Pan K, Pedram M (1994) FPGA synthesis using function decomposition. In: Proceedings of the IEEE international conference on computer design, Cambridge, pp 30–35

    Google Scholar 

  7. Lai Y, Pan K, Pedram M (1996) OBDD-based function decomposition: algorithms and implementation. IEEE Trans Comput Aided Des Integr Circuits Syst 15(8):977–990

    Article  Google Scholar 

  8. Opara A, Kubica M (2016) Decomposition synthesis strategy directed to FPGA with special MTBDD representation. In: International conference of computational methods in sciences and engineering. American Institute of Physics, Athens, 17 Mar 2016, Seria: AIP Conference Proceedings, vol 1790

    Google Scholar 

  9. Opara A, Kubica M, Kania D (2018) Strategy of logic synthesis using MTBDD dedicated to FPGA. Integr VLSI J 62:142–158

    Article  Google Scholar 

  10. Opara A, Kubica M, Kania D (2019) Methods of improving time efficiency of decomposition dedicated at FPGA structures and using BDD in the process of cyber-physical synthesis. IEEE Access 7:20619–20631. https://doi.org/10.1109/ACCESS.2019.289823010.1109/ACCESS.2019.2898230

    Article  Google Scholar 

  11. Manohararajah V, Singh DP, Brown SD (2005) Post-placement BDD-based decomposition for FPGAs. In: International conference on field programmable logic and applications, 2005, pp 31–38

    Google Scholar 

  12. Muma K, Chen D, Choi Y, Dodds D, Lee M, Ko S (2008) Combining ESOP minimization with BDD-based decomposition for improved FPGA synthesis. Canadian J Electr Comput Eng 33(3–4):177–182

    Article  Google Scholar 

  13. Curtis HA (1962) The design of switching circuits. D. van Nostrand Company Inc., Princeton

    Google Scholar 

  14. Kubica M, Kania D (2017) Decomposition of multi-output functions oriented to configurability of logic blocks. Bull Polish Acad Sci Tech Sci 65(3):317–331

    Google Scholar 

  15. Scholl C (2001) Functional decomposition with application to FPGA synthesis. Kluwer Academic Publisher, Boston

    Book  Google Scholar 

  16. Mikusek P (2009) Multi-terminal bdd synthesis and applications. In: International conference on field programmable logic and applications, 2009. FPL 2009, pp 721–722

    Google Scholar 

  17. Mikusek P, Dvorak V (2009).Heuristic synthesis of multi-terminal bdds based on local width/cost minimization. DSD ‘09. 12th euromicro conference on digital system design, architectures, methods and tools, pp 605–608

    Google Scholar 

  18. Scholl C, Becker B, Brogle A (2001) The multiplevariable order problem for binary decision diagrams: theory and practical application. In: Design automation conference, 2001. Proceedings of the ASP-DAC 2001. Asia and South Pacific, pp 85–90

    Google Scholar 

  19. Ochi H, Ishiura N, Yajima S (1991) Breadth-rstmanipulation of sbdd of boolean functions for vector processing. In: Design automation conference, 1991. 28th ACM/IEEE, pp 413–416

    Google Scholar 

  20. Minato S, Ishiura N, Yajima S (1990) Shared binary decision diagram with attributed edges for efficient boolean function manipulation. In: Design automation conference, 1990. Proceedings, 27th ACM/IEEE, pp 52–57

    Google Scholar 

  21. Thornton M, Williams J, Drechsler R, Drechsler R, Wessels D (1999) Sbdd variable reordering based on probabilistic and evolutionary algorithms. In: IEEE Pacific Rim conference on communications, computers and signal processing, 1999, pp 381–387

    Google Scholar 

  22. Babu HMH, SASAO T (1998) Shared multi-terminal binary decision diagrams for multiple-output functions. IEICE Trans Fundamentals Electron Commun Comput Sci 81(12):2545–2553

    Google Scholar 

  23. Kubica M (2014) Dekompozycja i odwzorowanie technologiczne z wykorzystaniem binarnych diagramów decyzyjnych, PhD thesis, Silesian University of Technology, Gliwice, Poland

    Google Scholar 

  24. Kubica M, Kania D (2015) New concept of graph for function decomposition. In: PDES 2015. IFAC conference on programmable devices and embedded systems, 2015, pp 61–66

    Google Scholar 

  25. Kubica M, Kania D, Opara A (2016) Decomposition time effectiveness for various synthesis strategies dedicated to FPGA structures. In: International conference of computational methods in sciences and engineering, American Institute of Physics, Athens, 17 Mar 2016, Seria: AIP Conference Proceedings; vol 1790

    Google Scholar 

  26. Kubica M, Kania D (2017) Area-oriented technology mapping for LUT-based logic blocks. Int J Appl Math Comput Sci 27(1):207–222

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2021 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Kubica, M., Opara, A., Kania, D. (2021). Decomposition of Functions Described Using BDD. In: Technology Mapping for LUT-Based FPGA. Lecture Notes in Electrical Engineering, vol 713. Springer, Cham. https://doi.org/10.1007/978-3-030-60488-2_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-60488-2_5

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-60487-5

  • Online ISBN: 978-3-030-60488-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics