Skip to main content

SRAMs

  • Chapter
  • First Online:
TFET Integrated Circuits

Abstract

The TFET emerges as one of the promising alternatives to CMOS to design ultra-low power memories due to very low leakage current. In literature, reports on optimizing TFET circuits are mainly focused on SRAM designs with the aim to reduce leakage.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 49.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 64.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 89.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Vinay Saripalli, Asit Mishra, Suman Datta, and Vijaykrishnan Narayanan. An energy-efficient heterogeneous CMP based on hybrid TFET-CMOS cores. In Design Automation Conference (DAC), 2011 48th ACM/EDAC/IEEE, pages 729–734. IEEE, 2011.

    Google Scholar 

  2. Karthik Swaminathan, Emre Kultursay, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut Kandemir, and Suman Datta. Improving energy efficiency of multi-threaded applications using heterogeneous CMOS-TFET multicores. In Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design, pages 247–252. IEEE Press, 2011.

    Google Scholar 

  3. Toshikazu Fukuda, Koji Kohara, Toshiaki Dozaka, Yasuhisa Takeyama, Tsuyoshi Midorikawa, Kenji Hashimoto, Ichiro Wakiyama, Shinji Miyano, and Takehiko Hojo. A 7ns-access-time 25μW/MHz 128kb SRAM for low-power fast wake-up MCU in 65nm CMOS with 27fA/b retention current. In Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2014 IEEE International, pages 236–237. IEEE, 2014.

    Google Scholar 

  4. Costin Anghel, Anju Gupta, Amara Amara, Andrei Vladimirescu, et al. 30-nm tunnel FET with improved performance and reduced ambipolar current. IEEE Transactions on Electron Devices, 58(6):1649–1654, 2011.

    Google Scholar 

  5. R Ranica, N Planes, O Weber, O Thomas, S Haendler, D Noblet, D Croain, C Gardin, and F Arnaud. FDSOI process/design full solutions for ultra low leakage, high speed and low voltage SRAMs. In VLSI Technology (VLSIT), 2013 Symposium on, pages T210–T211. IEEE, 2013.

    Google Scholar 

  6. Vinay Saripalli, Suman Datta, Vijaykrishnan Narayanan, and Jaydeep P Kulkarni. Variation-tolerant ultra low-power heterojunction tunnel FET SRAM design. In Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, pages 45–52. IEEE Computer Society, 2011.

    Google Scholar 

  7. Jawar Singh, Krishnan Ramakrishnan, S Mookerjea, Suman Datta, Narayanan Vijaykrishnan, and D Pradhan. A novel si Tunnel-FET-based SRAM design for ultra low-power 0.3V VDD applications. In Proceedings of the 2010 Asia and South Pacific Design Automation Conference, pages 181–186. IEEE Press, 2010.

    Google Scholar 

  8. Daeyeon Kim, Yoonmyung Lee, Jin Cai, Isaac Lauer, Leland Chang, Steven J Koester, Dennis Sylvester, and David Blaauw. Low power circuit design based on heterojunction tunneling transistors (HETTs). In Proceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design, pages 219–224. ACM, 2009.

    Google Scholar 

  9. Adam Makosiej, Rutwick Kumar Kashyap, Andrei Vladimirescu, Amara Amara, and Costin Anghel. A 32nm tunnel FET SRAM for ultra low leakage. In Circuits and Systems (ISCAS), 2012 IEEE International Symposium on, pages 2517–2520. IEEE, 2012.

    Google Scholar 

  10. Alan C Seabaugh and Qin Zhang. Low-voltage tunnel transistors for beyond CMOS logic. Proceedings of the IEEE, 98(12):2095–2110, 2010.

    Google Scholar 

  11. Xuebei Yang and Kartik Mohanram. Robust 6T Si tunneling transistor SRAM design. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2011, pages 1–6. IEEE, 2011.

    Google Scholar 

  12. Jan M Rabaey, Anantha P Chandrakasan, and Borivoje Nikolic. Digital Integrated Circuits, A Design Perspective. Prentice hall Englewood Cliffs, Second edition, 2002.

    Google Scholar 

  13. Leland Chang, David M Fried, Jack Hergenrother, Jeffrey W Sleight, Robert H Dennard, Robert K Montoye, Lidija Sekaric, Sharee J McNab, Anna W Topol, Charlotte D Adams, et al. Stable SRAM cell design for the 32 nm node and beyond. In VLSI Technology, 2005. Digest of Technical Papers. 2005 Symposium on, pages 128–129. IEEE, 2005.

    Google Scholar 

  14. O Thomas, C Anghel, and Adam Makosiej. Cellule memoire a transistors de lecture de type TFET et MOSFET, 2014. European Patent Application EP3010022A1.

    Google Scholar 

  15. V Saripalli et al. Generic TFET based 4T memory devices. US Patent-Issued, No. US8638591, 2014.

    Google Scholar 

  16. Leland Chang, Yutaka Nakamura, Robert K Montoye, Jun Sawada, Andrew K Martin, Kiyofumi Kinoshita, Fadi H Gebara, Kanak B Agarwal, Dhruva J Acharyya, Wilfried Haensch, et al. A 5.3 GHz 8T-SRAM with operation down to 0.41 V in 65nm CMOS. In VLSI Circuits, 2007 IEEE Symposium on, pages 252–253. IEEE, 2007.

    Google Scholar 

  17. Yasuhiro Morita, Hidehiro Fujiwara, Hiroki Noguchi, Yusuke Iguchi, Koji Nii, Hiroshi Kawaguchi, and Masahiko Yoshimoto. An area-conscious low-voltage-oriented 8T-SRAM design under DVS environment. In VLSI Circuits, 2007 IEEE Symposium on, pages 256–257. IEEE, 2007.

    Google Scholar 

  18. S Yoshimoto, M Terada, S Okumura, T Suzuki, S Miyano, H Kawaguchi, and M Yoshimoto. A 40-nm 0.5-V 20.1-μW/MHz 8T SRAM with low-energy disturb mitigation scheme. In VLSI Circuits (VLSIC), 2011 Symposium on, pages 72–73. IEEE, 2011.

    Google Scholar 

  19. Jose Maiz, Scott Hareland, Kevin Zhang, and Patrick Armstrong. Characterization of multi-bit soft error events in advanced SRAMs. In Electron Devices Meeting, 2003. IEDM’03 Technical Digest. IEEE International, pages 21–4. IEEE, 2003.

    Google Scholar 

  20. Peter Hazucha, T Karnik, J Maiz, S Walstra, B Bloechel, J Tschanz, G Dermer, S Hareland, P Armstrong, and S Borkar. Neutron soft error rate measurements in a 90-nm CMOS process and scaling trends in SRAM from 0.25-/spl mu/m to 90-nm generation. In Electron Devices Meeting, 2003. IEDM’03 Technical Digest. IEEE International, pages 21–5. IEEE, 2003.

    Google Scholar 

  21. Huichu Liu, Matthew Cotter, Suman Datta, and Vijay Narayanan. Technology assessment of Si and III-V FinFETs and III-V tunnel FETs from soft error rate perspective. In Electron Devices Meeting (IEDM), 2012 IEEE International, pages 25–5. IEEE, 2012.

    Google Scholar 

  22. Huichu Liu, Matthew Cotter, Suman Datta, and Vijaykrishnan Narayanan. Soft-error performance evaluation on emerging low power devices. IEEE Transactions on Device and Materials Reliability, 14(2):732–741, 2014.

    Article  Google Scholar 

  23. Hiroki Noguchi, Shunsuke Okumura, Yusuke Iguchi, Hidehiro Fujiwara, Yasuhiro Morita, Koji Nii, Hiroshi Kawaguchi, and Masahiko Yoshimoto. Which is the Best Dual-Port SRAM in 45-nm Process Technology? 8T, 10T single end, and 10T differential. In Integrated Circuit Design and Technology and Tutorial, 2008. ICICDT 2008. IEEE International Conference on, pages 55–58. IEEE, 2008.

    Google Scholar 

  24. Jui-Jen Wu, Meng-Fan Chang, Shau-Wei Lu, Robert Lo, and Quincy Li. A 45-nm dual-port SRAM utilizing write-assist cells against simultaneous access disturbances. IEEE Transactions on Circuits and Systems II: Express Briefs, 59(11):790–794, 2012.

    Article  Google Scholar 

  25. Koji Nii, Yasumasa Tsukamoto, Tomoaki Yoshizawa, S Imaolka, and Hiroshi Makino. A 90nm dual-port SRAM with 2.04/spl mu/m/sup 2/8T-thin cell using dynamically-controlled column bias scheme. In Solid-State Circuits Conference, 2004. Digest of Technical Papers. ISSCC. 2004 IEEE International, pages 508–543. IEEE, 2004.

    Google Scholar 

  26. Koji Nii, M Yabuuchi, Y Tsukamoto, S Ohbayashi, Y Oda, K Usui, T Kawamura, N Tsuboi, T Iwasaki, K Hashimoto, et al. A 45-nm single-port and dual-port SRAM family with robust read/write stabilizing circuitry under DVFS environment. In VLSI Circuits, 2008 IEEE Symposium on, pages 212–213. IEEE, 2008.

    Google Scholar 

  27. Navneet Gupta, Adam Makosiej, Oliver Thomas, Amara Amara, Andrei Vladimirescu, and Costin Anghel. Ultra-low leakage sub-32nm TFET/CMOS hybrid 32kb pseudo Dual Port scratchpad with GHz speed for embedded applications. In Circuits and Systems (ISCAS), 2015 IEEE International Symposium on, pages 597–600. IEEE, 2015.

    Google Scholar 

  28. Ming-Hsien Tu, Jihi-Yu Lin, Ming-Chien Tsai, Shyh-Jye Jou, and Ching-Te Chuang. Single-ended subthreshold SRAM with asymmetrical write/read-assist. IEEE Transactions on Circuits and Systems I: Regular Papers, 57(12):3039–3047, 2010.

    Article  MathSciNet  Google Scholar 

  29. Richard F Hobson. A new single-ended SRAM cell with write-assist. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15(2):173–181, 2007.

    Google Scholar 

  30. Jihi-Yu Lin, Ming-Hsien Tu, Ming-Chien Tsai, Shyh-Jye Jou, and Ching-Te Chuang. Asymmetrical Write-assist for single-ended SRAM operation. In SOC Conference, 2009. SOCC 2009. IEEE International, pages 101–104. IEEE, 2009.

    Google Scholar 

  31. Navneet Gupta, Adam Makosiej, Andrei Vladimirescu, Amara Amara, and Costin Anghel. 3T-TFET bitcell based TFET-CMOS hybrid SRAM design for ultra-low power applications. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2016, pages 361–366. IEEE, 2016.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2021 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Gupta, N., Makosiej, A., Amara, A., Vladimirescu, A., Anghel, C. (2021). SRAMs. In: TFET Integrated Circuits. Springer, Cham. https://doi.org/10.1007/978-3-030-55119-3_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-55119-3_3

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-55118-6

  • Online ISBN: 978-3-030-55119-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics