Skip to main content

Introduction

  • Chapter
  • First Online:
TFET Integrated Circuits

Abstract

Previous trends in System-on-Chip (SoC) design were focused on improving the performance of the system without giving significant consideration to power consumption. Complementary-Metal-Oxide-Semiconductor (CMOS) is the widely accepted technology for designing SoCs for over three decades. Performance improvements of CMOS systems came mostly through technology scaling and when speed could not be increased any further, the growing number of transistors per chip, which followed Moore’s law, led to multi-core processor chips. Technology scaling significantly improved the system performance and allowed to increase complexity of systems in cost-effective ways. However, power consumption became the major constraint in design specifications because of increased leakage with every new technology node.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 49.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 64.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 89.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Costin Anghel, Prathyusha Chilagani, Amara Amara, and Andrei Vladimirescu. Tunnel field effect transistor with increased ON current, low-k spacer and high-k dielectric. Applied Physics Letters, 96(12):122104, 2010.

    Google Scholar 

  2. Massimo Alioto. Ultra-low power design approaches for IoT. In Hot Chips Symposium, pages 1–57, 2014.

    Google Scholar 

  3. ITRS Organization. International Technology Roadmap for Semiconductors Roadmap.

    Google Scholar 

  4. Vinay Saripalli, Asit Mishra, Suman Datta, and Vijaykrishnan Narayanan. An energy-efficient heterogeneous CMP based on hybrid TFET-CMOS cores. In Design Automation Conference (DAC), 2011 48th ACM/EDAC/IEEE, pages 729–734. IEEE, 2011.

    Google Scholar 

  5. Karthik Swaminathan, Emre Kultursay, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut Kandemir, and Suman Datta. Improving energy efficiency of multi-threaded applications using heterogeneous CMOS-TFET multicores. In Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design, pages 247–252. IEEE Press, 2011.

    Google Scholar 

  6. Supreet Jeloka, Naveen Akesh, Dennis Sylvester, and David Blaauw. A configurable TCAM/BCAM/SRAM using 28nm push-rule 6T bit cell. In VLSI Circuits (VLSI Circuits), 2015 Symposium on, pages C272–C273. IEEE, 2015.

    Google Scholar 

  7. Stefan Rusu, Harry Muljono, David Ayers, Simon Tam, Wei Chen, Aaron Martin, Shenggao Li, Sujal Vora, Raj Varada, and Eddie Wang. A 22 nm 15-Core Enterprise Xeon® Processor Family. IEEE Journal of Solid-State Circuits, 50(1):35–48, 2015.

    Article  ADS  Google Scholar 

  8. Toshikazu Fukuda, Koji Kohara, Toshiaki Dozaka, Yasuhisa Takeyama, Tsuyoshi Midorikawa, Kenji Hashimoto, Ichiro Wakiyama, Shinji Miyano, and Takehiko Hojo. A 7ns-access-time 25μW/MHz 128kb SRAM for low-power fast wake-up MCU in 65nm CMOS with 27fA/b retention current. In Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2014 IEEE International, pages 236–237. IEEE, 2014.

    Google Scholar 

  9. James Myers, Anand Savanth, David Howard, Rohan Gaddh, Pranay Prabhat, and David Flynn. 8.1 An 80nW retention 11.7 pJ/cycle active subthreshold ARM Cortex-M0+ subsystem in 65nm CMOS for WSN applications. In Solid-State Circuits Conference-(ISSCC), 2015 IEEE International, pages 1–3. IEEE, 2015.

    Google Scholar 

  10. Mahmood Khayatzadeh, Xiaoyang Zhang, Jun Tan, Wen-Sin Liew, and Yong Lian. A 0.7-v 17.4-/spl mu/w 3-lead wireless ecg soc. IEEE transactions on biomedical circuits and systems, 7(5):583–592, 2013.

    Google Scholar 

  11. Scott Hanson, Mingoo Seok, Yu-Shiang Lin, ZhiYoong Foo, Daeyeon Kim, Yoonmyung Lee, Nurrachman Liu, Dennis Sylvester, and David Blaauw. A low-voltage processor for sensing applications with picowatt standby mode. IEEE Journal of Solid-State Circuits, 44(4):1145–1155, 2009.

    Article  ADS  Google Scholar 

  12. J Appenzeller, Y-M Lin, J Knoch, and Ph Avouris. Band-to-band tunneling in carbon nanotube field-effect transistors. Physical review letters, 93(19):196805, 2004.

    Google Scholar 

  13. Woo Young Choi, Byung-Gook Park, Jong Duk Lee, and Tsu-Jae King Liu. Tunneling field-effect transistors (TFETs) with subthreshold swing (SS) less than 60 mV/dec. IEEE Electron Device Letters, 28(8):743–745, 2007.

    Google Scholar 

  14. Costin Anghel, Anju Gupta, Amara Amara, Andrei Vladimirescu, et al. 30-nm tunnel FET with improved performance and reduced ambipolar current. IEEE Transactions on Electron Devices, 58(6):1649–1654, 2011.

    Google Scholar 

  15. A Villalon, C Le Royer, P Nguyen, S Barraud, F Glowacki, A Revelant, L Selmi, S Cristoloveanu, L Tosti, C Vizioz, et al. First demonstration of strained SiGe nanowires TFETs with ION beyond 700μA/μm. In VLSI Technology (VLSI-Technology): Digest of Technical Papers, 2014 Symposium on, pages 1–2. IEEE, 2014.

    Google Scholar 

  16. Ramanathan Gandhi, Zhixian Chen, Navab Singh, Kaustav Banerjee, and Sungjoo Lee. Vertical Si-Nanowire n-Type Tunneling FETs With Low Subthreshold Swing (leq 50mV/decade) at Room Temperature. IEEE Electron Device Letters, 32(4):437–439, 2011.

    Article  ADS  Google Scholar 

  17. David Esseni, Manuel Guglielmini, Bernard Kapidani, Tommaso Rollo, and Massimo Alioto. Tunnel FETs for ultralow voltage digital VLSI circuits: Part I Device–circuit interaction and evaluation at device level. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 22(12):2488–2498, 2014.

    Article  Google Scholar 

  18. R Ranica, N Planes, O Weber, O Thomas, S Haendler, D Noblet, D Croain, C Gardin, and F Arnaud. FDSOI process/design full solutions for ultra low leakage, high speed and low voltage SRAMs. In VLSI Technology (VLSIT), 2013 Symposium on, pages T210–T211. IEEE, 2013.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2021 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Gupta, N., Makosiej, A., Amara, A., Vladimirescu, A., Anghel, C. (2021). Introduction. In: TFET Integrated Circuits. Springer, Cham. https://doi.org/10.1007/978-3-030-55119-3_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-55119-3_1

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-55118-6

  • Online ISBN: 978-3-030-55119-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics