Skip to main content

Methods of Detecting Hardware Trojans in Microcircuits

  • Chapter
  • First Online:
Viruses, Hardware and Software Trojans
  • 830 Accesses

Abstract

In previous section, we performed a detailed examination of classification of Trojans in microcircuits , their design principles, functioning mechanisms, and masking methods. This chapter is dedicated to identification of the most effective means to identify hardware Trojans in microcircuits .

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. C. Krieg, E. Weippl, Malware in Hardware Infrastructure Components. SBA Research, Favoritenstrasse 16, 1040, Vienna {ckrieg, eweippl}@sba- research.org

    Google Scholar 

  2. S. Adee, The hunt for the kill switch. Spectrum IEEE 45(5), 34–39 (2008). ISSN 0018-9235, https://doi.org/10.1109/mspec.2008.4505310

  3. D. Agrawal, S. Baktir, D. Karakoyunlu, P Rohatgi, B. Sunar, Trojan Detection using IC Fingerprinting, in IEEE Symposium on Security and Privacy, 2007. SP ’07 (2007), pp. 296–310, https://doi.org/10.1109/sp.2007.36

  4. S.S. Ali, R.S. Chakraborty, D. Mukhopadhyay, S. Bhunia, Multi-level attacks: an emerging security concern for cryptographic hardware, in Proceedings of Design, Automation & Test in Europe Conference & Exhibition (DATE) (2011), pp. 1–4, http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=5763307

  5. M.S. Anderson, C.J.G. North, K.K. Yiu, Towards Countering the Rise of the Silicon Trojan. Technical Report 12 (2008). 20PR.pdf

  6. R.J. Anderson, Security Engineering: A Guide to Building Dependable Distributed Systems, 1st edn. (Wiley, New York, NY, USA, 2001). ISBN 0471389226. http://www.cl.cam.ac.uk/~rja14/Papers/SE-14.pdf

  7. M. Banga, M.S. Hsiao, Trusted RTL: trojan detection methodology in pre-silicon designs, in Proceedings of IEEE International Symposium on Hardware-Oriented Security and Trust (HOST) (2010), pp. 56–59, https://doi.org/10.1109/hst.2010.5513114

  8. M. Banga, M.S. Hsiao, A region based approach for the identiftcation of hardware Trojans, in IEEE International Workshop on Hardware-Oriented Security and Trust, 2008. HOST 2008 (2008), pp. 40–47, https://doi.org/10.1109/hst.2008.4559047

  9. M. Banga, M.S. Hsiao, VITAMIN: voltage inversion technique to ascertain malicious insertions in ICs, IEEE International Workshop on in Hardware-Oriented Security and Trust, 2009. HOST ’09 (2009), pp. 104–107, https://doi.org/10.1109/hst.2009.5224960

  10. M. Banga, M.S. Hsiao, A novel sustained vector technique for the detection of hardware Trojans, in 22nd International Conference on VLSI Design (2009), pp. 327–332, https://doi.org/10.1109/vlsi.design.2009.22

  11. M. Banga, Partition based approaches for the isolation and detection of embedded Trojans in ICs. Master’s thesis, Faculty of Virginia Polytechnic Institute and State University, 09 2008, http://scholar.lib.vt.edu/theses/available/etd-09042008-155719/unrestricted/MS_Thesis_Mainak.pdf

  12. M. Banga, M. Chandrasekar, L. Fang, M.S. Hsiao, Guided test generation for isolation and detection of embedded Trojans in ICs, in GLSVLSI ’08: Proceedings of the 18th ACM Great Lakes Symposium on VLSI. New York, NY, USA (ACM, 2008), pp. 363–366. ISBN 978-1-59593-999-9. http://doi.acm.org/10.1145/1366110.1366196

  13. A. Baumgarten, M. Steffen, M. Clausman, J. Zambreno, A case study in hardware Trojan design and implementation. Int. J. Inf. Secur. 10, 1–14. ISSN 1615-5262, http://dx.doi.org/10.1007/s10207-010-0115-0

  14. G. Bloom, R. Simha, B. Narahari, OS support for detecting Trojan circuit attacks, in IEEE International Workshop on Hardware-Oriented Security and Trust, 2009. HOST ’09 (2009), pp. 100–103, https://doi.org/10.1109/hst.2009.5224959

  15. R. Chakraborty, F. Wolff, S. Paul, C. Papachristou, S. Bhunia, MERO: a statistical approach for hardware trojan detection, in Cryptographic Hardware and Embedded Systems—CHES, ed. by C. Clavier, K. Gaj. Volume 5747 of Lecture Notes in Computer Science (Springer, Berlin/Heidelberg, 2009), pp. 396–410, https://doi.org/10.1007/978-3-642-04138-9_28

  16. R.S. Chakraborty, S. Paul, S. Bhunia, On-demand transparency for improving hardware Trojan detectability, in IEEE International Workshop on Hardware-Oriented Security and Trust, 2008. HOST 2008 (2008), pp. 48–50, https://doi.org/10.1109/HST2008.4559048

  17. Z. Chen, X. Guo, A. Nagesh, M. Reddy, A. Maiti, Hardware Trojan Designs on BASYS FPGA Board (2008), http://filebox.vt.edu/users/xuguo/homepage/publications/csaw08.pdf

  18. DARPA, Trust in Integrated circuits (TIC) (2007), http://www.darpa.mil/MTO/solicitations/baa07-24/index.html

  19. A. Das, G. Memik, J. Zambreno, A. Choudhary, Detecting/preventing information leakage on the memory bus due to malicious hardware, in Design, Automation & Test in Europe Conference & Exhibition (DATE) 2010 (2010), pp. 861–866, http://portal.acm.org/citation.cfm?id=1871135

  20. Defense Science Board, Department of Defense, U.S.A. High Performance Microchip supply, http://www.cra.org/govaffairs/images/2005-02-HPMS_Report_Final.pdf, 02 2005

  21. D. Du, S. Narasimhan, R. Chakraborty, S. Bhunia, Self-referencing: a scalable side-channel approach for hardware Trojan detection, in Cryptographic Hardware and Embedded Systems, CHES 2010, ed. by S. Mangard, F.-X. Standaert. Volume 6225 of Lecture Notes in Computer Science (Springer, Berlin/Heidelberg, 2010), pp. 173–187, http://dx.doi.org/10.1007/978-3-642-15031-9_12

  22. M. Hicks, M. Finnicum, S.T. King, M.M.K. Martin, J.M. Smith, Overcoming an untrusted computing base: detecting and removing malicious hardware automatically, in 2010 IEEE Symposium on Security and Privacy (SP) (2010), pp. 159–172, https://doi.org/10.1109/sp.2010.18

  23. S. Jha, S.K. Jha, Randomization based probabilistic approach to detect Trojan circuits, in High Assurance Systems Engineering Symposium, 2008. HASE 2008. 11th IEEE (2008), pp. 117–124, https://doi.org/10.1109/hase.2008.37

  24. Y. Jin, Y. Makris, Hardware Trojans in wireless cryptographic ICs. Des. Test Comput. IEEE 27(1), 26–35 (2010). ISSN 0740-7475. https://doi.org/10.1109/mdt.2010.21

  25. Y. Jin, Y. Makris, Hardware Trojan detection using path delay ftngerprint, in IEEE International Workshop on Hardware-Oriented Security and Trust, 2008. HOST 2008 (2008), pp. 51–57, https://doi.org/10.1109/hst.2008.4559049

  26. C.H. Kim, J.-J. Quisquater, Faults, injection methods and fault attacks. IEEE Des. Test Comput. 24(6), 544–545 (2007). https://doi.org/10.1109/MDT.2007.186

    Article  Google Scholar 

  27. L.-W Kim, J.D. Villasenor, C.K. Koc, A Trojan-resistant system-on-chip bus architecture, in Military Communications Conference, 2009. MILCOM 2009 IEEE (2009), pp. 1–6, https://doi.org/10.1109/milcom.2009.5379966

  28. S.T. King, J. Tucek, A. Cozzie, C. Grier, W. Jiang, Y, Zhou, Designing and implementing malicious hardware, in LEET’08: Proceedings of the 1st Usenix Workshop on Large-Scale Exploits and Emergent Threats (Berkeley, CA, USA, 2008), pp. 1–8. USENIX Association, http://portal.acm.org/citation.cfm?id=1387709.1387714

  29. F. Koushanfar, A. Mirhoseini, A unified framework for multimodal submodular integrated circuits Trojan detection. IEEE Trans. Inf. Forensics Secur. 6(1), 162–174 (2011), https://doi.org/10.1109/tifs.2010.2096811

  30. F. Koushanfar, A. Mirhoseini, Y.A. Alkabani, A unifted submodular framework for multimodal IC Trojan detection, in Information Hiding, ed. by R. Boohme, P. Fong, R. Safavi-Naini. Volume 6387 of Lecture Notes in Computer Science (Springer, Berlin/Heidelberg, 2010), pp. 17–32, http://dx.doi.org/10.1007/978-3-642-16435-42

  31. C. Lamech, R. Rad, M. Tehrani, J. Plusquellic, An experimental analysis of power and delay signal-to-noise requirements for detecting Trojans and methods for achieving the required detection sensitivities. Trans. Inf. Forensics Secur. (99) (2011), https://doi.org/10.1109/tifs.2011.2136339. Early Access

  32. J. Li, J. Lach, At-speed delay characterization for IC authentication and Trojan horse detection, in IEEE International Workshop on Hardware-Oriented Security and Trust, 2008. HOST 2008 (2008), pp. 8–14, https://doi.org/10.1109/hst.2008.4559038

  33. L. Lin, W. Burleson, C. Paar, MOLES: malicious off-chip leakage enabled by side-channels, in IEEE/ACM International Conference on Computer-Aided Design—Digest of Technical Papers, 2009. ICCAD 2009 (2009), pp. 117–122. http://ieeexplore.ieee.org/xpls/abs_alljsp?arnumber=5361303

  34. L. Lin, M. Kasper, T.G. Neysu, C. Paar, W Burleson, Trojan side-channels: lightweight hardware trojans through side-channel engineering, in Cryptographic Hardware and Embedded System—CHES 2009, ed. by C. Clavier, K. Gaj. Volume 5747 of Lecture Notes in Computer Science (Springer, Berlin/Heidelberg, 2009), pp. 382–395, http://dx.doi.org/10.1007/978-3-642-04138-9_27

  35. D. Mclntyre, F. Wolff, C. Papachristou, S. Bhunia, D. Weyer, Dynamic evaluation of hardware trust, in IEEE International Workshop on Hardware-Oriented Security and Trust, 2009. HOST ’09 (2009), pp. 108–111, https://doi.org/10.1109/hst.2009.5224990

  36. S. Narasimhan, D. Du, R.S. Chakraborty, S. Paul, F. Wolff, C. Papachristou, K. Roy, S. Bhunia, Multiple-parameter side-channel analysis: a non-invasive hardware Trojan detection approach, in 2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST) (2010), pp. 13–18, https://doi.org/10.1109/hst.2010.5513122

  37. M. Nelson, A. Nahapetian, F. Koushanfar, M. Potkonjak, SVD-based ghost circuitry detection, in Information Hiding, ed. by S. Katzenbeisser, A.-R. Sadeghi. Volume 5806 of Lecture Notes in Computer Science (Springer, Berlin/Heidelberg, 2009), pp. 221–234, http://dx.doi.org/10.1007/978-3-642-04431-1_16

  38. M. Potkonjak, A. Nahapetian, M. Nelson, T. Massey, Hardware Trojan horse detection using gate-level characterization, in DAC ’09: Proceedings of the 46th Annual Design Automation Conference, New York, NY, USA, 2009 (ACM, 2009), pp. 688–693. ISBN 978-160558-497-3. http://doi.acm.org/10.1145/1629911.1630091

  39. R. Rad, J. Plusquellic, M. Tehranipoor, Sensitivity analysis to hardware Trojans using power supply transient signals, in IEEE International Workshop on Hardware-Oriented Security and Trust, 2008. HOST 2008 (2008), pp. 3–7, https://doi.org/10.1109/hst.2008.4559037

  40. R. Rad, J. Plusquellic, M. Tehranipoor, A sensitivity analysis of power signal methods for detecting hardware Trojans under real process and environmental conditions. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 18(12), 1735–1744 (2010). ISSN 1063-8210. https://doi.org/10.1109/tvlsi.2009.2029117

  41. R.M. Rad, X. Wang, M. Tehranipoor, J. Plusquellic, Power supply signal calibration techniques for improving detection resolution to hardware Trojans, IEEE/ACM International Conference on Computer-Aided Design, 2008. ICCAD 2008 (2008), pp. 632–639, https://doi.org/10.1109/iccad.2008.4681643

  42. J.A. Roy, F. Koushanfar, I.L. Markov, Extended abstract: circuit CAD tools as a security threat, in Proceedings of IEEE International Workshop on Hardware-Oriented Security and Trust HOST 2008 (2008), pp. 65–66, https://doi.org/10.1109/hst.2008.4559052

  43. H. Salmani, M. Tehranipoor, J. Plusquellic, New design strategy for improving hardware Trojan detection and reducing Trojan activation time, in IEEE International Workshop on Hardware-Oriented Security and Trust, 2009. HOST ’09 (2009), pp. 66–73, https://doi.org/10.1109/hst.2009.5224968

  44. H. Salmani, M. Tehranipoor, J. Plusquellic, A layout-aware approach for improving localized switching to detect hardware Trojans in integrated circuits, in Proceedings of IEEE Int Information Forensics and Security (WIFS) Workshop (2010), pp. 1–6, https://doi.org/10.1109/wifs.20https://doi.org/10.5711438

    Google Scholar 

  45. H. Salmani, M. Tehranipoor, J. Plusquellic, A novel technique for improving hardware Trojan detection and reducing trojan activation time. (99) (2011), https://doi.org/10.1109/tvlsi.20https://doi.org/10.2093547. Early Access

    Google Scholar 

  46. A. Waksman, S. Sethumadhavan, Tamper evident microprocessors, in SP ’10 Proceedings of the 2010 IEEE Symposium on Security and Privacy (2010), pp. 173–188, https://doi.org/10.1109/sp2010.19

  47. A. Waksman, S. Sethumadhavan, Silencing hardware backdoors, in Proceedings of IEEE Symposium on Security and Privacy (SP) (2011), pp. 49–63, https://doi.org/10.1109/sp2011.27, http://www.cs.columbia.edu/~simha/preprint_oakland11.pdf

  48. X. Wang, H. Salmani, M. Tehranipoor, J. Plusquellic, Hardware Trojan detection and isolation using current integration and localized current analysis, in IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems, 2008. DFTVS ’08 (2008), pp. 87–95, https://doi.org/10.1109/dft.2008.61

  49. S. Wei, M. Potkonjak, Scalable segmentation-based malicious circuitry detection and diagnosis, in Proceedings (2010), pp. 483–486, https://doi.org/10.1109/iccad.2010.5653770

  50. S. Wei, S. Meguerdichian, M. Potkonjak, Gate-level characterization: foundations and hardware security applications, in Proceedings of 47th ACM/IEEE Design Automation Conference (DAC) (2010), pp. 222–227, http://ieeexplore.ieee.org/ielx5/5510861/5522347/05522644.pdf?tp=&arnumber=5522644&isnumber=5522347

  51. F. Wolff, C. Papachristou, S. Bhunia, R.S. Chakraborty, Towards Trojan-free trusted ICs: problem analysis and detection scheme, in Design, Automation and Test in Europe, 2008. DATE ’08 (2008), pp. 1362–1365, https://doi.org/10.1109/date.2008.4484928

  52. X. Zhang, M. Tehranipoor, RON: an on-chip ring oscillator network for hardware Trojan detection , in Proceedings of Design, Automation & Test in Europe Conf. & Exhibition (DATE) (2011), pp. 1–6, http://ieeexplore.ieee.org/stamp/stampjsp?tp=&arnumber=5763260

  53. Aerospace Industries Association of America, Counterfeit parts: increasing awareness and developing countermeasures (2011), http://www.aiaaerospace.org/assets/counterfeit-web11.pdf

  54. R. Torrance, D. James, The state-of-the-art in semiconductor reverse engineering, in Design Automation Conference—DAC 2011, ed. by L. Stok, N.D. Dutt, S. Hassoun (ACM, 2011), pp. 333–338

    Google Scholar 

  55. C. Bao, D. Forte, A. Srivastava, On application of one-class SVM to reverse engineering-based hardware trojan detection, in International Symposium on Quality Electronic Design—ISQED 2014 (IEEE, 2014), pp. 47–54

    Google Scholar 

  56. R.S. Chakraborty, F.G. Wolff, S. Paul, C.A. Papachristou, S. Bhunia, MERO: a statistical approach for hardware trojan detection, in Cryptographic Hardware and Embedded Systems—CHES 2009, ed. by C. Clavier, K. Gaj, ser. LNCS, vol. 5747 (Springer, 2009), pp. 396–410

    Google Scholar 

  57. D. Du, S. Narasimhan, R.S. Chakraborty, S. Bhunia, Selfreferencing: a scalable side-channel approach for hardware trojan detection, in Cryptographic Hardware and Embedded Systems—CHES 2010, ed. by S. Mangard, F. Standaert, ser. LNCS, vol. 6225 (Springer, 2010), pp. 173–187

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Anatoly Belous .

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Belous, A., Saladukha, V. (2020). Methods of Detecting Hardware Trojans in Microcircuits. In: Viruses, Hardware and Software Trojans. Springer, Cham. https://doi.org/10.1007/978-3-030-47218-4_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-47218-4_5

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-47217-7

  • Online ISBN: 978-3-030-47218-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics