Skip to main content

Mitigation: Tamper-Mitigating Routing Fabrics

  • Chapter
  • First Online:
Secure and Trustworthy Cyberphysical Microfluidic Biochips
  • 259 Accesses

Abstract

Intuitively, a biochip designed for a single function is physically unable to realize an undesired operation. On the other hand, a reconfigurable biochip could be configured in a way that is not only undesirable, but potentially destructive. This chapter introduces the concept of a tamper-mitigating routing fabric, which is a reconfigurable biochip technology that is designed in such a way that the effects of control signal tampering are probabilistically less severe or controlled. Both routing fabric analysis and synthesis techniques are developed and then applied to a DNA barcoding application.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 69.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 89.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Y. Luo, K. Chakrabarty, Design of pin-constrained general-purpose digital microfluidic biochips. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(9), 1307–1320 (2013)

    Article  Google Scholar 

  2. M. Ibrahim, K. Chakrabarty, U. Schlichtmann, CoSyn: efficient single-cell analysis using a hybrid microfluidic platform, in Design, Automation & Test in Europe Conference & Exhibition (Lausanne) (2017)

    Google Scholar 

  3. R. Silva, S. Bhatia, D. Densmore, A reconfigurable continuous-flow fluidic routing fabric using a modular, scalable primitive. Lab. Chip 16(14), 2730–2741 (2016)

    Article  Google Scholar 

  4. I.E. Araci, P. Brisk, Recent developments in microfluidic large scale integration. Curr. Opin. Biotechnol. 25, 60–68 (2014)

    Article  Google Scholar 

  5. S.-J. Kim, D. Lai, J.Y. Park, R. Yokokawa, S. Takayama, Microfluidic automation using elastomeric valves and droplets: reducing reliance on external controllers. Small 8(19), 2925–2934 (2012)

    Article  Google Scholar 

  6. B. Mosadegh, T. Bersano-Begey, J.Y. Park, M.A. Burns, S. Takayama, Next-generation integrated microfluidic circuits. Lab. Chip 11(17), 2813–2818 (2011)

    Article  Google Scholar 

  7. P.N. Duncan, S. Ahrar, E.E. Hui, Scaling of pneumatic digital logic circuits. Lab. Chip 15(5), 1360–1365 (2015)

    Article  Google Scholar 

  8. M. Rhee, M.A. Burns, Microfluidic pneumatic logic circuits and digital pneumatic microprocessors for integrated microfluidic systems. Lab. Chip 9(21), 3131–3143 (2009)

    Article  Google Scholar 

  9. M. Ibrahim, A. Sridhar, K. Chakrabarty, U. Schlichtmann, Sortex: efficient timing-driven synthesis of reconfigurable flow-based biochips for scalable single-cell screening, in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (2017), pp. 623–630

    Google Scholar 

  10. G.J. Kost, Preventing medical errors in point-of-care testing: security, validation, performance, safeguards, and connectivity. Arch. Pathol. Lab. Med. 125(10), 1307–1315 (2001)

    Google Scholar 

  11. R. Garver, C. Seife, FDA let drugs approved on fraudulent research stay on the market (2013). https://www.propublica.org/article/fda-let-drugs-approved-on-fraudulent-research-stay-on-the-market

  12. H. Fereidooni, J. Classen, T. Spink, P. Patras, M. Miettinen, A.-R. Sadeghi, M. Hollick, M. Conti, Breaking fitness records without moving: reverse engineering and spoofing Fitbit, in International Symposium on Research in Attacks, Intrusions, and Defenses (Springer, Berlin, 2017), pp. 48–69

    Google Scholar 

  13. D.G. Abraham, G.M. Dolan, G.P. Double, J.V. Stevens, Transaction security system. IBM Syst. J. 30(2), 206–229 (1991)

    Article  Google Scholar 

  14. A. Barenghi, L. Breveglieri, I. Koren, D. Naccache, Fault injection attacks on cryptographic devices: theory, practice, and countermeasures. Proc. IEEE 100(11), 3056–3076 (2012)

    Article  Google Scholar 

  15. H. Bar-El, H. Choukri, D. Naccache, M. Tunstall, C. Whelan, The sorcerer’s apprentice guide to fault attacks. Proc. IEEE 94(2), 370–382 (2006)

    Article  Google Scholar 

  16. E. Biham, A. Shamir, Differential fault analysis of secret key cryptosystems, in Proceedings of Annual International Cryptology Conference (Santa Barbara, CA) (Springer, Berlin, 1997), pp. 513–525

    MATH  Google Scholar 

  17. H. Chen, S. Potluri, F. Koushanfar, BioChipWork: reverse engineering of microfluidic biochips, in Proceedings of IEEE International Conference on Computer Design (Newton, MA) (2017), pp. 9–16

    Google Scholar 

  18. Y. Moradi, M. Ibrahim, K. Chakrabarty, U. Schlichtmann, Fault-tolerant valve-based microfluidic routing fabric for droplet barcoding in single-cell analysis, in 2018 Design, Automation & Test in Europe Conference & Exhibition (2018)

    Google Scholar 

  19. M. Mesbahi, State-dependent graphs, in Proceedings of IEEE Conference on Decision and Control (Lahaina, HI), vol. 3 (2003), pp. 3058–3063

    Google Scholar 

  20. J. Tang, M. Ibrahim, K. Chakrabarty, R. Karri, Security implications of cyberphysical flow-based microfluidic biochips, in Proceedings of IEEE Asian Test Symposium (Taipei) (2017), pp. 110–115

    Google Scholar 

  21. J. Tang, M. Ibrahim, K. Chakrabarty, R. Karri, Security trade-offs in microfluidic routing fabrics, in Proceedings of IEEE International Conference on Computer Design (Newton, MA) (2017), pp. 25–32

    Google Scholar 

  22. S.-I. Minato, N. Ishiura, S. Yajima, Shared binary decision diagram with attributed edges for efficient Boolean function manipulation, in Proceedings of IEEE/ACM Design Automation Conference (1990), pp. 52–57

    Google Scholar 

  23. R.I. Bahar, E.A. Frohm, C.M. Gaona, G.D. Hachtel, E. Macii, A. Pardo, F. Somenzi, Algebric decision diagrams and their applications. Formal Methods Syst. Des. 10(2–3), 171–206 (1997)

    Article  Google Scholar 

  24. D.E. Knuth, A.C. Yao, The complexity of non-uniform random number generation, in Algorithms and Complexity: New Directions and Recent Results, ed. by J.F. Traub (Academic, New York, 1976)

    Google Scholar 

  25. H. Zhou, H.-L. Chen, J. Bruck, Synthesis of stochastic flow networks. IEEE Trans. Comput. 63(5), 1234–1247 (2014)

    Article  MathSciNet  Google Scholar 

  26. R.E. Bryant, Graph-based algorithms for Boolean function manipulation. IEEE Trans. Comput. 100(8), 677–691 (1986)

    Article  Google Scholar 

  27. K.M. Horsman, J.M. Bienvenue, K.R. Blasier, J.P. Landers, Forensic DNA analysis on microfluidic devices: a review. J. For. Sci. 52(4), 784–799 (2007)

    Google Scholar 

  28. J. El-Ali, P.K. Sorger, K.F. Jensen, Cells on chips. Nature 442(7101), 403–411 (2006)

    Article  Google Scholar 

  29. S. Hosic, S.K. Murthy, A.N. Koppes, Microfluidic sample preparation for single cell analysis. Anal. Chem. 88(1), 354–380 (2015)

    Article  Google Scholar 

  30. A.M. Klein, L. Mazutis, I. Akartuna, N. Tallapragada, A. Veres, V. Li, L. Peshkin, D.A. Weitz, M.W. Kirschner, Droplet barcoding for single-cell transcriptomics applied to embryonic stem cells. Cell 161(5), 1187–1201 (2015)

    Article  Google Scholar 

  31. T.M. Cover, J.A. Thomas, Elements of Information Theory (Wiley, New Delhi, 2012)

    MATH  Google Scholar 

  32. M. Ibrahim, K. Chakrabarty, K. Scott, Synthesis of cyberphysical digital-microfluidic biochips for real-time quantitative analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(5), 733–746 (2017)

    Article  Google Scholar 

  33. J. Pearl, Probabilistic Reasoning in Intelligent Systems: Networks of Plausible Inference (Morgan Kaufmann, San Francisco, 1988)

    MATH  Google Scholar 

  34. L. Xing, S.V. Amari, Binary Decision Diagrams and Extensions for System Reliability Analysis (Scrivener, Beverly, 2015)

    Book  Google Scholar 

  35. C. Clos, A study of non-blocking switching networks. Bell Labs Tech. J. 32(2), 406–424 (1953)

    Article  Google Scholar 

  36. J. Duato, S. Yalamanchili, L.M. Ni, Interconnection Networks: An Engineering Approach (Morgan Kaufmann, San Francisco, 2003)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Tang, J., Ibrahim, M., Chakrabarty, K., Karri, R. (2020). Mitigation: Tamper-Mitigating Routing Fabrics. In: Secure and Trustworthy Cyberphysical Microfluidic Biochips. Springer, Cham. https://doi.org/10.1007/978-3-030-18163-5_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-18163-5_5

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-18162-8

  • Online ISBN: 978-3-030-18163-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics