Keywords

1 Introduction

During the last decades, important advances in nano-scale technology have allowed to miniaturize and integrate hundred million transistors in a small silicon area. Since the development of the first commercial Integrated Circuit (IC), miniaturization and integration have been carried out following Moore’s Law. Every two years, new circuits designed with smaller technological nodes were announced by the industry. However, significant changes to the paradigms of digital and analog circuit design were required throughout this technological progression of the Metal-Oxide Semiconductor Field Effect Transistors (MOSFETs) technology.

In technological nodes below 20 nm, the gate terminal begins to lose control over the potential distribution and current flow of the transistor’s channel region. This causes a phenomenon denominated Short-Channel Effect (SCE), which occurs due to the proximity between source and drain [1]. In the face of this adversity, new types of transistors have been designed to address the challenges caused by the scaling of CMOS transistors. Two technologies are widely adopted: Silicon-On-Insulator (SOI) MOSFET and FinFET. In fact, FinFET technology is already replacing CMOS transistors in state-of-the-art ICs – major electronics companies such as Intel [2] and Samsung [3] have already migrated to FinFET technology owing to its reduced short channel effects, electrostatic characteristics [4,5,6], and its compatibility with standard CMOS manufacturing process [1, 7].

As a result of this changes in technological paradigms caused by the introduction of the FinFET technology, several circuit devices needed to be redesigned, tested, and evaluated. One special kind of circuit that can be cited in this context are Static Random Access Memories (SRAM), the focus of this research. Due to the always-increasing need to store more and more information on chip, SRAMs have become the main contributor to the overall area of Systems-on-Chips (SoCs) [8]. Thus, the overall performance of the chip can be improved significantly by optimizing these memory circuits.

As explained in [9], SRAMs are designed with high density and produced at the limit of the technological process. Hence, they are very susceptible to manufacturing defects. The resistive defect model, which can be modeled as resistive-open or resistive-bridge, is a well-accepted defect model studied in bulk CMOS technology. A resistive-open defect is defined as a resistor between two circuit nodes that share a connection [10], while a resistive-bridge is defined as a resistor between two circuit nodes that should not be connected [11, 12]. Open defects have traditionally been a concern in the CMOS technology test scenario. More recently, this concern shifted towards weak resistive-open and weak resistive-bridge defects as their probability of occurrence may increase in nanometer technologies due to the ever-growing number of interconnections between layers [10].

While the influence of resistive defects in circuit parameters (e.g. voltage, current) is irrefutable, it is easier to evaluate their impact by analyzing what faulty behaviors they lead to. Functional faults are deviations from expected behavior of the memory under a set of operations [13]. Faults can be static (whose occurrence happens with one operation) and dynamic, in which at least two consecutive operations are required to sensitize the fault. These faults generally cause timing dependent faults, meaning that at least a 2-pattern sequence is necessary to sensitize them [14]. Moreover, the number of dynamic faults is directly correlated to the presence of weak resistive defects [15].

With the scaling down of technological nodes, weak resistive defects are likely to be one of the main reliability challenges in IC design [16]. This can be partially attributed to the difficulty of detecting these defects, and therefore the dynamic faults they cause. Indeed, open/resistive vias are the most common origin of test escapes in deep-submicron technologies [17]. Many of the standard March algorithms fail to detect dynamic faults [10, 15, 18] or present certain limitations by limiting the number of consecutive operations required to sensitize the fault by no more than two [19,20,21]. Recently, a new March test designed for FinFET-based memories was proposed in [22]. The authors formulated this new test algorithm based on reports from their previous works in which they observed dynamic faults sensitized by up to eight consecutive read operations [23, 24].

Traditionally, characterization of fault behavior observed in defective SRAM cells has been performed following a well stablished methodology based on SPICE electrical simulations. Many works focused on evaluating the resistance in which a certain defect starts to sensitize faults. This resistance, known as critical resistance, is the threshold between a fault-free and faulty behavior [25]. Critical resistances of resistive-open defects were investigated in [10, 18, 26, 27] adopting technological nodes of 130 nm down to 40 nm, while critical resistances of resistive-bridge defects were investigated in [28, 29] adopting technological nodes of 90 nm down to 40 nm.

However, all these previous researches were conducted using planar CMOS technology. So far, little research has been conducted considering resistive defects in FinFET memories. In [24], the authors modeled resistive open and bridge defects taking into account the physical structure of 28 nm FinFET devices aiming to observe possible unique faults of this technology. An analysis of faults in FinFET SRAM cells affected by resistive defects was presented in [30]. Simulations were carried out using a 20 nm technology model. No further works have been proposed focusing on smaller nodes. This is especially worrisome since 14 nm FinFET devices are currently in production [31, 32].

This work presents a study on the behavior of FinFET-based SRAM cells affected by resistive defects. In particular, this study intends to map and determine how manufacturing defects, specifically resistive-open and resistive-bridge defects, impact in the behavior of FinFET SRAM cells. Resistive defects with different magnitudes were injected in memory bitcells aiming to sensitize static and dynamic faults. The analysis was performed through electrical simulation using HSPICETM software and adopting Predictive Technology Models (PTM) [33] of multi-gate transistors based on 20 nm, 16 nm, 14 nm, 10 nm, and 7 nm bulk FinFET. These analyses demonstrated that smaller FinFET technologies will be more prone to weak resistive defects and therefore dynamic faults, proving the need for specific test methodologies for this unique technology.

The rest of the work is organized as follows. Section 2 explains the concepts related to the design of FinFET SRAMs and the set of fault models adopted in this work. Next, Sect. 3 describes the simulation setup and the set of resistive defects injected into the SRAM cells. Section 4 discusses the results obtained from simulations and compares the different technological nodes. Finally, Sect. 5 presents this work’s final considerations.

2 Background

FinFET circuits have been adopted as a way to continue the scaling of ICs and fulfill the performance requirements established by the miniaturization-oriented goals of More Moore. Thus, it is of vital importance to understand the aspects of FinFET-based SRAM arrays and the faults related to this new technology in order to identify discrepancies caused by resistive defects. In this Section, main characteristics of FinFET technology and FinFET SRAM design are discussed, followed by the background on the fault models associated to resistive-open and resistive-bridge defects.

2.1 FinFET Characteristics

FinFET transistors are quasi-planar, multi-gate devices consisting of vertical silicon islands, denominated “fins”, with metal wrapped around the gate and placed on top of the oxide. The first fabricated structure alike FinFETs was the DELTA [34], a double-gate MOS transistor manufactured in 1989. Afterward, other transistor structures were proposed aiming to surpass the scalability limitations of the CMOS technology [1]. It is possible to design different FinFET structures based on the way the transistors are fabricated. In Silicon-On-Insulator (SOI) FinFETs, fins are built over Buried Oxide (BOX) and are isolated from the substrate. In Bulk FinFETs, the fin is connected directly to the substrate through the oxide layer, and a Shallow Trench Isolation (STI) of oxide is formed on the side.

FinFETs can also be classified based on their gate configuration. In Shorted-Gate (SG) FinFETs, all three sides of the gate are physically shorted in order to create a single terminal, allowing a higher on-current and lower off-current. In Independent-Gate (IG) FinFETs, the top part of the gate is etched in order to create two independent gate terminals. This offers the possibility of applying different signals in each terminal, enabling the modulation of \( V_{TH} \) of the front-gate by biasing the back-gate. However, this also implies in a certain area penalty due to the necessity of two separate gate contacts [7].

The fundamental design parameters of a FinFET transistor are its fin’s height \( \left( {H_{FIN} } \right) \), its thickness \( \left( {T_{FIN} } \right) \), and channel length \( \left( {L_{g} } \right) \). Other parameters, such as Gate Oxide Thickness \( \left( {T_{OX} } \right) \), Gate Work Function, Body Doping, Source/Drain Doping, and Supply Voltage complete the typical parameters [35]. Figure 1 depicts the architecture of a Bulk FinFET transistor and its main parameters. In this work, the bulk-FinFET technology is studied.

Fig. 1.
figure 1

Structure of bulk-FinFET transistors

The main advantage of this technology is to minimize the Short-Channel Effect (SCE), allowing the continuity of the downscaling of integrated circuits. This is possible due the improved control of gates over the conduction channel, bringing other benefits such as high density and low operational voltage.

2.2 Static Random Access Memories

A standard 6T SRAM cell is composed of six transistors; four of them form two cross-coupled inverters (M1 & M2, M3 & M4), while the other two act as Pass Gates (PG, M5 and M6), providing read and write access to the cell. The word line (WL) controls the two PG nMOS transistors that are each connected to their respective bit lines (BL and \( \overline{BL} \)). The value stored in the cell corresponds to the digital representation of the voltage on Q (‘1’ for \( V_{DD} \), ‘0’ for 0 V). Figure 2 shows a schematic of an 6T SRAM cell designed with SG-FinFET transistors.

Fig. 2.
figure 2

FinFET-based SRAM-cell

Jointly, a group of SRAM cells forms a matrix structure, allowing data storage in any combination of rows and columns. All cells share electrical connections: vertically, through the bit line, and horizontally, through the word line. Each cell has a unique position (address), so it is possible to access each one of them individually by the appropriate selection of word and bit lines. Address decoders, write drivers, registers, and sense amplifiers complete the set of peripheral circuitry working to guarantee the proper operation of the memory. Figure 3 depicts an example of this architecture. This structure is well known and a more detailed explanation can be found in literature.

Fig. 3.
figure 3

Architecture of an SRAM memory.

Memories can operate in three distinct modes: hold mode, read mode, and write mode. In hold mode, no operations are being performed on the cell. The word line is off, and the cell has no connection with the rest of the memory array. In read mode, bit lines are pre-charged to \( {\text{V}}_{\text{DD}} \) and word line is turned on, enabling the cell to discharge BL or \( \overline{\text{BL}} \) based on the stored value. During write mode, word lines are turned on and bit lines are kept in opposite voltage levels in order to force the new value into the cell.

2.3 FinFET SRAM

Technology scaling of conventional CMOS SRAMs are limited due to the random variations of threshold voltage \( \left( {V_{TH} } \right) \) caused by Random Dopant Fluctuation. As high doping is not required in FinFETs due to their enhanced SCE, Random Dopant Fluctuation is expressively reduced, which diminishes \( V_{TH} \) variations and allows \( V_{DD} \) to be scaled down. Furthermore, reduced Random Dopant Fluctuation also improves the Static Noise Margin (SNM) and consequently enhances the cell’s robustness [7]. Moreover, improved sub-threshold swing allows not only lower \( V_{TH} \) for a given off-state leakage current, but also enhances the on-state current per device width. Such improvements shorten the read and write access times on SRAM cells. Thus, the FinFET technology can bring many specific advantages to SRAM memories’ performance and stability.

The SRAM cell’s structure is divided into three parts, with the proper notation of (PU:PG:PD) to describe its configuration, where PU, PG and PD stand for: Pull-Up, composed of the two pMOS transistors of the inverters; Pass Gate, consisting of the two pass-through nMOS transistors; and Pull-Down, which are the two nMOS transistors of the inverters, respectively. One of the drawbacks of designing SRAM cells with FinFET technology is the discrete nature of fins limited to a quantized number. Distinct configurations using different numbers of fins have been proposed for FinFET-based SRAM cell designs [36,37,38]. For this work, the High-Density configuration presented in [38] of (1:1:1) was adopted.

2.4 Fault Models Associated to Resistive Defects

Due to imperfections on the manufacturing process, memory cells may be affected by manufacturing defects such as resistive-open and/or resistive-bridge defects that can compromise the correct behavior of the device. These defects can be characterized as strong or weak defects based on the nature of the fault they sensitize: strong defects are related to static faults, while weak defects are associated to dynamic faults. Faulty behaviors can be specified using Fault Primitive (FP), which characterizes the sensitizing sequence (S), the faulty behavior observed (F), and the output of read operations (R) [13, 21], following the notation <S/F/R>. A non-empty set of fault primitives is known as a Functional Fault Model (FFM). FPs can be classified as static or dynamic according to the number of required operations in order to sensitize the fault. Furthermore, the number of necessary operations to sensitize the fault may depend on many factors, such as defect resistance, operating temperature, process corner, among others [10].

Furthermore, an FP can also be classified by the number of cells involved: single-cell and multi-cell FP. In a single-cell FP, faulty behaviors are only observed in the defective cell. In multi-cell FP (also known as coupling-faults), two cells (or two groups of cells) interact to produce a fault. The cell that suffers the faulty behavior is the victim (v-cell), while the cell that triggers the fault is the aggressor (a-cell). It is important to note that the resistive defect can be present either in the a-cell and/or in the v-cell [13, 21].

Since an FFM is defined as a set of FPs, FFM will assume their characteristics, resulting in the follow classifications: static and dynamic FFM; single-cell and multi-cell FFM. In more details, FFMs can represent the following fault space that was considered in this work, and described in [11] and [13]:

  • Stuck-at Fault (SAF): A cell is said to have a SAF (even know State Fault) when the cell is stuck and stores only one logic value ‘0’ or ‘1’;

  • No Store Fault (NSF): This fault is the opposite to SAF, where a cell with NSF cannot retain any logic value in their nodes;

  • Transition Fault (TF): A cell is said to have a TF if it fails to undergo a transition from ‘0’ to ‘1’ or vice versa when it is written;

  • Write Disturb Fault (WDF): A cell is said to have a WDF if a non-transition write operation causes a transition in it;

  • Read Destructive Fault (RDF): A cell is said to have an RDF if a read operation performed on the cell changes the data in the cell and returns the incorrect value to the output. This type of fault can also have a dynamic behavior classified as dRDF;

  • Deceptive Read Destructive Fault (DRDF): A cell is said to have a DRDF if a read operation performed on the cell returns the correct logic value, but changes the contents of the cell. This type of fault can also have a dynamic behavior classified as dDRDF;

  • Incorrect Read Fault (IRF): A cell is said to have an IRF if a read operation performed on the cell returns an incorrect logic value, even though the correct value is still stored in the cell. This type of fault can also have a dynamic behavior classified as dIFR;

  • Weak Read Fault (WRF): A cell is said to have a WRF when, during the read operation, the sense amplifier cannot produce the correct logic output due to the small voltage difference between bit lines;

  • Disturb Coupling Fault (CFds): This fault occurs in groups of at least two cells, called aggressor (a-cell) and victim (v-cell), and is sensitized when a read or write operation in an a-cell affects a v-cell or a group of v-cells, forcing them to change their stored values. This type of fault can also have a dynamic behavior classified as dCFds;

  • Transition Coupling Fault (CFtr): This fault occurs when a transition write operation performed on the v-cell fails due to a given logic value stored in the a-cell. Thus, the fault is sensitized by a write operation on the v-cell and setting the a-cell into a given state.

  • Read Disturb Coupling Fault (CFrd): This fault occurs when a read operation performed on a v-cell changes the data in the cell and returns the incorrect value on the output if a given value is present in the a-cell. This type of fault can also have a dynamic behavior classified as dCFrd.

  • Incorrect Read Coupling Fault (CFir): This fault occurs when a read operation performed on a v-cell returns an incorrect value on the output when a given value is present in the a-cell. This type of fault can also have a dynamic behavior classified as dCFir.

Table 1 shows the FFMs observed in this work and their respective FPs. As previously mentioned, an FFM is composed by a set of FPs represented by <S/F/R>. On single-cell faults, S may assume none or one operation of read or write for a static FFM, and two or more operations for dynamic FFM.

Table 1. Functional fault models and their respective fault primitives.

For simplification purposes, FPs of dynamic FFMs are represented with only two operations. F represents the faulty behavior of the cell, and is represented by a logic ‘1’ or ‘0’. R is the output of a read operation, represented by a logic ‘0’ or ‘1’. In case no read operation is performed, ‘-’ is adopted, while ‘?’ is used when it is not possible to determine the output value. For coupling faults, S assumes the form of x; y, in which x is the operation in the a-cell and y is for v-cell. Furthermore, xx is used to represent a dynamic behavior of more than one operation. It’s important to note that in this work, dynamic FPs are comprised of a write operation followed by consecutive n read operations. Thus, it’s necessary to repeatedly read a cell and evaluate the retrieved value [13].

3 Simulation Setup

In order to provide the proposed analysis, electrical simulations have been performed on HSPICE adopting a FinFET SRAM block composed of 1024 lines and 1024 columns each, connected to functional blocks, using a 20 nm low-power PTM compact model and considering temperatures of −40 ℃, 27 ℃, and 125 ℃. Furthermore, this work analyzes the impact of resistive defects on SRAM blocks designed in smaller technological nodes, such as 16 nm, 14 nm, 10 nm, and 7 nm. Table 2 presents the supply voltage adopted for each node. The operational clock signal frequency chosen is set to 1 GHz. In order to simplify and fasten the simulations, only 8 lines consisting of 8 columns each were implemented, while the remaining cells were emulated by capacitances.

Table 2. Supply voltage of the analysed technological nodes.

To recreate an SRAM block as genuine as possible, auxiliary circuitry was used. A differential sense amplifier was adopted for read operations, while write operations were assisted by write buffers. Pre-charge circuits, row-decoders, and registers complete the setup. All circuits, including memory cells, were designed using the low power technological library. As stated before, the SRAM cell was designed using only one fin in each transistor to achieve higher densities.

3.1 Modeled Defects

In this work, a set of 12 defects was modeled and injected into a memory cell, one at a time. Six of them are classic resistive-open defects, previously studied for bulk CMOS technology [14]. In summary, resistive-open defects are non-designed resistances between two nodes that have a connection. Figure 4 depicts the scheme adopted to model the resistive-open defects.

Fig. 4.
figure 4

Resistive-open defects injected into a SRAM cell.

The other six defects analyzed are considered resistive-bridge defects, which are resistive connections between nodes that, upon design, were not connected [10]. Figure 5 shows the set of resistive-bridge defects analyzed in this work. DFB1-DFB5 are classic resistive-bridge defects that have been previously analyzed in CMOS technology [11]. DFB6 is a new defect that, considering FinFET architecture, may create a bridge between drain and source of transistors [39]. Due to cell’s symmetry, only one instance of each defect is necessary to analyze their impact on the cell’s behavior.

Fig. 5.
figure 5

Resistive defects injected into a SRAM cell.

3.2 Evaluation of Defect Size on Fault Behavior

To analyze the impact of each defect on the behavior of memory cells, an automated tool was developed. For each defect, simulations were performed while varying the resistance value of modeled defects up to a maximum of 20 MΩ, or until the occurrence of a static fault. The resistance on this iteration is defined as “upper limit” and, based on this resistance value, the tool simulated the circuit again using increasingly weaker resistances in order to observe either dynamic faults or fault-free behavior.

Applying this procedure, it is possible to observe three distinct cases: the defect is too weak to sensitize any type of fault at logic level; the defect is weak, but great enough to sensitize dynamic faults; and the defect is great enough to sensitize static faults. The output of read operations and internal nodes of the cell are analyzed in order to identify faults.

To evaluate defects that result in single static faults, simple verification of the value is performed after the defect is injected. Single write and read operations (\( 0r0 \), \( 1r1 \), \( 0w0 \), \( 0w1 \), \( 1w0 \) and \( 1w1 \)) are executed to analyze static faults. To evaluate dynamic faults, a write followed by n read operations were performed (\( 0w0r0^{n} \), \( 0w1r1^{n} \), \( 1w0r0^{n} \) and \( 1w1r1^{n} \), where n is number of operations). Note that n was defined to be at maximum 50 read operations. The analysis for coupling faults is similar, with the exception that for this type of fault, operations may be performed in certain cells, while evaluation is performed in a different cell or group of cells in the array.

4 Results

This Section summarizes the results and discusses the relation between defect size and cell behavior. First, results obtained for resistive-open defects and resistive-bridge defects considering the 20 nm node in a nominal temperature of 27 ℃ are presented. Next, an evaluation comparing the behavior of this same node in temperatures of −40 ℃ and 125 ℃ is presented. These analyses were first presented in [30], and are further extended in this work by repeating the same experiment using smaller technological nodes. In all analyses, the obtained results are the fault observed and the critical resistance.

4.1 Resistive-Open Defects

Results for resistive-open defects are shown in Fig. 6, which illustrates the relation between defect size and faults observed on affected cells at room temperature (27 ℃). For DFO4, within the specified range of 0–20 MΩ no faults were observed at 27 ℃. Observing the remaining defects, it is possible to conclude that DFO1 is the most critical one; it demonstrates a fault free interval of only 15.3 kΩ. Dynamic behaviors were only reported for DFO2 and DFO3. It is possible to summarize the results: TFs can be observed for defects DFO1, DFO5, and DFO6. RDF and dRDF can be observed injecting RODF3. Finally, DRDF and dDRDF are observed when injecting DFO2 and DFO3.

Fig. 6.
figure 6

Faults observed during simulations of SRAM cells affected by resistive-open defects of different magnitudes.

4.2 Resistive-Bridge Defects

As previously mentioned, resistive-bridge defects create connections between nodes that were not planned upon design. Therefore, depending on the defect size, such defects may actively unbalance the cell and cause faults such as NSF and SAF. The full relation between defect size and observed faults is depicted in Fig. 7. From the obtained results, it is possible to conclude that the most critical resistive-bridge defect is DFB3 as it creates the greatest faulty behavior interval (from 0 to 46 kΩ).

Fig. 7.
figure 7

Faults observed during simulations of SRAM cells affected by resistive-bridge defects of different magnitudes.

However, there is a different aspect of resistive-bridge defects the results draw special attention to: as such defects create connections, a resistive-bridge defect affecting one cell may have an impact in other fault-free neighbor cells, causing Coupling Faults (CF). In Fig. 7, this was defined as “Array Impact”, and observed in DFB5 and DFB6. It is important to mention that these “Array Impact” faults affected fault-free cells. Figure 8 depicts this behavior. It shows the simulation of a cell that is located at row 0 and is affected by a resistive-bridge defect (DFB5) that creates a connection between the word line 0 (WL0) and \( \overline{\text{BL}} \) of magnitude 11.5 kΩ. This defect size does not sensitize any fault in a-cell, as shown in Fig. 7. A write ‘0’ operation is successfully performed on the cell, followed by three consecutive read operations in the same cell on row 0. The faulty behavior is observed in a v-cell in row 1, as a dynamic CFrd, and in a v-cell in row 2 as a CFrd.

Fig. 8.
figure 8

Simulation output of a cell affected by a resistive-bridge causing faults on other cells of the array.

By performing a read operation on row 1 (Fig. 8), \( \overline{\text{BL}} \) is not able to charge as it is being drained by the WL0. This results in an IRF, as can be seen in the Out signal. As all of the three analyzed cells are located on the same column, they all share the same output signal. A subsequent read operation has a bigger impact, causing a dynamic CFrd on the cell. The same destructive behavior is observed when performing subsequently read operations in another fault-free cell from a different row, this time a static CFrd can be observed.

Additionally, operations performed on fault-free cells can affect defective cells as long as they are in the same column. This way, the fault-free cell is the aggressor and the faulty cell is the victim. Figure 9 illustrates this fault behavior on a cell affected by DFB6, which creates a resistive-bridge between source and drain of transistor M5, connecting \( \overline{\text{BL}} \) and \( {\bar{\text{Q}}} \). As the fault-free cell on row 2 is written, the value on the defective cell on row 1 is flipped. This happens due to the shared connection between \( \overline{\text{BL}} \) and \( {\bar{\text{Q}}} \). As \( \overline{\text{BL}} \) is discharged due to a write ‘0’ operation, \( {\bar{\text{Q}}} \) discharges as well, causing a misbalancing, and eventually a flip on the stored value. This can also be considered as a “following-signal” behavior, as \( {\bar{\text{Q}}} \) follows the value on \( \overline{\text{BL}} \). The same behavior is observed on cells affected by DFB4, as the affected node is now connected to WL.

Fig. 9.
figure 9

Simulation output of a cell affected by a resistive-bridge defect suffering a destruction fault caused by an operation in a neighbor cell.

Figure 10 depicts this particular behavior. It shows the simulation of a cell affected by a DFB4 of magnitude 13 kΩ. In Fig. 7, this behavior is classified as SAF. This defect creates a connection between \( {\bar{\text{Q}}} \) and WL. This way, \( {\bar{\text{Q}}} \) follows the voltage on WL, causing an inconsistent behavior that may not be trivial to detect. The behavior observed resembles an SAF as the cell can only store ‘1’ while the word line is off.

Fig. 10.
figure 10

Simulation output of a cell affected by a resistive-bridge defect connecting \( {\bar{\text{Q}}} \) to the word line.

4.3 Analysis Considering Different Operating Temperatures

Table 3 shows the comparison between the critical resistances for resistive-open and resistive-bridge defects considering three different temperatures, −40 ℃, 27 ℃, and 127 ℃. Analyzing the results obtained throughout simulations, it is possible to observe that for each defect, a similar relation between critical resistances and temperature exists. In DFO1, DFO2, DFO3, DFO4, DFO6, DFB2, and DFB5 (register) an increased temperature worsens the critical resistance. On the contrary, DFO5, DFB1, DFB3, DFB4, DFB5 (cell and array) and DFB6 are more prominent in lower temperatures.

Table 3. Critical resistance values for different temperatures.

The operating temperature affects the critical resistances, since the current capabilities of the transistors are also affected. In this manner, the process of charging and discharging the nodes and the resistive-open and bridge defect’s value are affected by temperature.

On the one hand, for resistive-open defects, the high temperature facilitates the occurrence of faults, because it lowers the critical resistance. However, for DFO5, low resistance slightly moved the cell’s operational window to a more convenient period within higher temperatures, resulting in an improvement of operation in this design. Further, it is interesting to note, that DF4 only causes faults at the highest temperature setting.

On the other hand, resistive-bridge defects are more likely to sensitize faults considering lower temperature. Note that the critical resistance value necessary to cause RDFs decreases with temperature for DFB2 and DFB3, because the resistance alters the discharge characteristics of nodes. Note that for resistive-bridge defects a smaller resistance value represents a stronger defect. Considering DFB5, it is possible to observe that the TF occurs with a smaller resistance value when simulating the memory cell operating at −40 ℃. Finally, coupling faults are more prominent in low temperature, since a weaker defect is necessary to cause the fault.

The presented analysis considering different operating temperatures demonstrates a pattern for FinFET-based SRAMs and will further assist in future researches on evaluating weak resistive defects’ impact on memory cells.

4.4 Analysis Considering Different Nodes

In order to evaluate critical resistances for smaller nodes, an extensive fault mapping process was carried out, adopting different technological nodes: 16 nm, 14 nm, 10 nm, and 7 nm. Tables 4, 5, 6, 7, 8 and 9 present the faults observed in each simulation setup, including the 20 nm node as reference. The resistance values shown represent the critical resistance responsible to sensitize a fault at logic level.

Table 4. Critical resistances for resistive-open defects at 27 ℃.
Table 5. Critical resistances for resistive-open defects at 125 ℃.
Table 6. Critical resistances for resistive-open defects at −40 ℃.
Table 7. Critical resistance values for DFB at 27 ℃.
Table 8. Critical resistance values for DFB at 125 ℃.
Table 9. Critical resistance values for DFB at −40 ℃.

The tables are grouped in two sets according to the kind of defect. In the first set, the critical resistance associated to resistive-open defects is analyzed considering three different operating temperatures. The second set presents the results for bridge defects. Table 4 presents the results obtained for resistive-open defects considering the temperature of 27 ℃. Analyzing the summarized results, it is possible to observe a significant change in critical resistance for the same defect in different technological nodes. The only exception is DFO1, whose critical resistance remained around 14 kΩ. Note that with DFO4 no faults have been observed for the considered temperature range. For all other resistive-open defects, the scale-down of technological nodes made them less relevant as only stronger defects are now necessary to sensitize faults. In fact, the critical resistance for DFO2 in a 20 nm node is more than 30 times smaller when compared to its critical resistance in 7 nm technology.

A similar behavior can be observed in the results shown in Tables 5 and 6, which present the results obtained for the simulations injecting resistive-open defects with operating temperature set to 125 ℃ and −40 ℃, respectively. Once again, all defects presented a significant increase in critical resistance, except for DFO1. Note also that DFO4 only caused faults when considering a temperature of 125 ℃ and the range of resistance used in the executed simulations.

In Tables 7, 8, and 9, the results obtained from the analysis of faults caused by resistive-bridge defects in the temperatures of 27 ℃, 125 ℃ and −40 ℃ are shown, respectively. Analyzing the results obtained in Table 7, it is possible to observe a significant change in critical resistance (increasing 84%) for the defect DFB1, when moving from 20 nm to 7 nm technology. Reducing the technology node also causes some variation to the value of critical resistance for the remaining defects. The lowest values tend to appear for the 14 nm technology, while for 7 nm the value increases when compared to any other technology node simulated.

It is important to mention that some faults are masked by others. This happens to TF in DFB1, which is masked by NSF and SAF. This also occurs with WRF in DFB2 and DFB3. In older technologies, a well-defined range for such behavior is encountered, while FinFET’s technology range of transitions is comparably diffuse, since the critical resistance values often differ by less than 1 kΩ. There are presented some faults with the same value, because this faults are noted for some nodes, but are masked for another. For example, in DFB1 for the 7 nm technology, WRF and RDF are masked by NSF.

Observing some faults, the SAF can be notice that the value stuck-at could be different, according how the resistance is presented in the cell. For example, in defect DFB2, SAF is stuck-at ‘1’, however this would be ‘0’ if the resistance are connected to \( {\bar{\text{Q}}} \). Fr the CFir array faults of DFB5 and DFB6, the value of critical resistance keep the higher in all cases; this event occurs due the variations in the register’s sensibility in the set of \( V_{DD} \) and frequency operation used.

Analyzing the data of Tables 8 and 9, it is observed that the effect of temperature variation is more prominent in the 7 nm node, whose the critical resistance in Table 8 is lower than the 20 nm node. However, in Table 9 the situation is inversed and the critical resistance of the 7 nm node is higher. It may be noted that the dynamic fault occurrence rate is higher when compared to open defects for all nodes.

5 Final Remarks

This work presents an analysis of the behavior of FinFET-based SRAMs affected by resistive defects. The range of analyzed defects is vast and includes weak resistive-open and weak resistive-bridge defects that may escape manufacturing tests. Faulty behaviors detected by an automated tool were mapped and categorized in different kinds of faults. Further, the impact of defects on other cells of the array was evaluated, showing that defects that do not sensitize faults in the defective cell may still compromise the behavior of other cells. The fault models categorized comprise single and couple, static and dynamic faults. Finally, each defect was further characterized considering three different operating temperatures (−40 ℃, 27 ℃, and 125 ℃) and five technological nodes (20 nm, 16 nm, 14 nm, 10 nm, and 7 nm). Except for DFO5, increasing the temperature amplify the impact of resistive-open defects on memory cells. Moreover, a significant increase in critical resistance was observed when mapping faults in smaller technologies, especially for DFO4. Thus, it is possible to conclude that only stronger defects will sensitize faults in further scaled memories.

As for resistive-bridge defects, each defect showed a particular behavior when considering different operating temperatures, mainly the 7 nm that suffers great variations for temperature variation. Besides some exceptions, lower temperatures increase the critical resistance. Coupling faults were observed in cells affected by DFB5 and DFB6.

Dynamic faults will increase their range of appearance with the reduction of technology, to the open defects consequently the 7 nm technology presents a high dynamic fault rate. Considering bridge defect the occurrence of dynamic faults is variable. It is important to mention that weak defects, that do not cause any faulty behavior, may become a reliability concern over lifetime. Under these circumstances, the necessity to adopt defect-oriented test methodologies for performing the manufacturing test procedures increases.

It is important to highlight that weak defects, that do not cause any faulty behavior, may become a reliability concern over lifetime. Under these circumstances, the necessity to adopt defect-oriented test methodologies for performing the manufacturing test procedures increases.

Finally, with this mapping and characterization of different resistive defects, it is possible to start analyzing the impact of these defects when considering memory block’s in combination with other reliability issues, such as aging and/or noise tolerance.