Skip to main content

Structural Design and Hierarchy

  • Chapter
  • First Online:
Quick Start Guide to Verilog
  • 83k Accesses

Abstract

This chapter describes how to accomplish hierarchy within Verilog using lower-level subsystems. Structural design in Verilog refers to including lower-level subsystems within a higher-level module in order to produce the desired functionality. This is called hierarchy and is a good design practice because it enables design partitioning. A purely structural design will not contain any behavioral constructs in the module such as signal assignments, but instead just contain the instantiation and interconnections of other subsystems. A subsystem in Verilog is simply another module that is called by a higher-level module. Each lower-level module that is called is executed concurrently by the calling module.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 69.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

LaMeres, B.J. (2019). Structural Design and Hierarchy. In: Quick Start Guide to Verilog. Springer, Cham. https://doi.org/10.1007/978-3-030-10552-5_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-10552-5_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-10551-8

  • Online ISBN: 978-3-030-10552-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics