Skip to main content

Machine Learning for Compact Lithographic Process Models

  • Chapter
  • First Online:
Machine Learning in VLSI Computer-Aided Design

Abstract

This chapter described the motivations and requirements for compact patterning models, and the role of machine learning in constructing them. We start by defining patterning process models and their role in the IC fabrication process. We then describe the requirements of these models, in particular with regard to turn-around time in production high-volume manufacturing, which usually necessitates the use of compact patterning process models rather than rigorous models. We describe the stages into which the pattern process can be subdivided, and the challenges of modeling each stage. We then move to the discussion of supervised learning as it has been applied to the problem of training compact patterning process models. In the final section, we review some of recent results in applying deep learning to this domain.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 149.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 199.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. E. Abbe, Beitrage zur Theorie des Mikroskops und der mikroskopischen Wahrnehmung. Arch. Mikrosk. Anat. 9, 413–418 (1873)

    Article  Google Scholar 

  2. Agudelo et al., Application of artificial neural networks to compact mask models in optical lithography simulation. J. Micro/Nanolith, MEMS MOEMS 13(1), 0110022-1–16, (2014)

    Google Scholar 

  3. J.T. Azpiroz, Analysis and modeling of photomask near-fields in sub-wavelength deep ultraviolet lithography with optical proximity correction, Dissertation, University of California, Los Angeles, 2004

    Google Scholar 

  4. S. Babin et al., Modeling of charge and discharge in scanning electron microscopy. Proc. SPIE 7378 (2009). https://doi.org/10.1117/12.828575

  5. D. Beale et al., Etch modeling for accurate full-chip process proximity correction. Proc. SPIE 5754 (2004). https://doi.org/10.1117/12.600815

  6. Å. Björk, Numerical Methods for Least Squares Problems (Society for Industrial and Applied Mathematics, Philadelphia, 1996). https://doi.org/10.1137/1.9781611971484

    Book  Google Scholar 

  7. S.-Y. Chou et al., Study of mask corner rounding effects on lithographic patterning for 90-nm technology and beyond. Proc. SPIE 5446 (2004). https://doi.org/10.1117/12.557745

  8. N. Cobb, Fast optical and process proximity correction algorithms for integrated circuit manufacturing, Dissertation, University of California, Berkeley, 1998

    Google Scholar 

  9. K. Cummings et al., Using a neural network to proximity correct patterns written with a Cambridge electron beam microfabricator 10.5 lithography system. Appl. Phys. Lett. 57, 1431 (1990)

    Google Scholar 

  10. R. Dennard et al., Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J. Solid State Circuits (1974). https://doi.org/10.1109/JSSC.1974.1050511

  11. F.H. Dill, Modeling projection printing of positive photoresists. IEEE Trans Electron Devices 22, 456–464 (1975)

    Article  Google Scholar 

  12. B. Efron et al., Least angle regression. Ann. Stat. 32, 407–499 (2004)

    Article  MathSciNet  Google Scholar 

  13. C. Fang et al., A physics-based model for negative tone development materials. J. Photopolym. Sci. Technol. 27, 53–59 (2014)

    Article  Google Scholar 

  14. R. Frye et al., Proximity effect corrections in electron beam lithography using a neural network, in IEEE International Conference on Systems, Man, and Cybernetics Conference Proceedings (1990). https://doi.org/10.1109/ICSMC.1990.142210

  15. H. Gamo, Matrix treatment of partial coherence, in Progress in Optics, ed. by E. Wolf (1964). https://doi.org/10.1016/S0079-6638(08)70571-7

  16. A. Garetto et al., Aerial imaging technology for photomask qualification: from a microscope to a metrology tool. Adv. Opt. Technol. (2012). https://doi.org/10.1515/aot-2012-0124

  17. I. Goodfellow, Y. Bengio, A. Courville, Deep Learning (The MIT Press, Cambridge, 2016)

    MATH  Google Scholar 

  18. T. Hastie, R. Tibshirani, J. Friedman, The Elements of Statistical Learning: Data Mining, Inference, and Prediction, 2nd edn. (Springer, New York, 2009)

    Book  Google Scholar 

  19. H. Hopkins, On the diffraction theory of optical images. Proc. Roy. Soc. A 217, 408 (1953)

    MathSciNet  MATH  Google Scholar 

  20. A. Isoyan, L. Melvin, Full-chip high resolution electron-beam lithography proximity effect correction modeling. Proc. SPIE 7637 (2010). https://doi.org/10.1117/12.846681

  21. J. Kotani et al., Mask CD uniformity improvement by dry etching loading effect correction. Proc. SPIE 5256. https://doi.org/10.1117/12.524430

  22. A. Krizhevsky, ImageNet classification with deep convolutional neural networks. Adv. Neural Inf. Proces. Syst. 25, 1097–1105 (2012)

    Google Scholar 

  23. S. Lan et al., Deep learning assisted fast mask optimization. Proc. SPIE 10587 (2018). https://doi.org/10.1117/12.2297514

  24. Y. LeCun et al., Gradient-based learning applied to document recognition. Proc. IEEE 86(11), 2278–2324 (1998)

    Article  Google Scholar 

  25. M. Levenson et al., Improving resolution in photolithography with a phase shifting mask. IEEE Trans. Electron Devices 29, 1828–1836 (1982)

    Article  Google Scholar 

  26. P. Liu et al., A full-chip 3D computational framework. Proc. SPIE 83260A (2012). https://doi.org/10.1117/12.916076

  27. C. Mack, New kinetic model for resist dissolution. J. Electrochem. Soc. 139, L34–L39 (1992)

    Article  Google Scholar 

  28. C. Mack, Fundamental Principles of Optical Lithography (Wiley, Hoboken, 2007)

    Book  Google Scholar 

  29. V. Mardiris, Neural networks for the simulation of photoresist exposure process in integrated circuit fabrication. Model. Simul. Mater. Sci. Eng. 5, 439–450 (1997)

    Article  Google Scholar 

  30. D. Matiut et al., New models for the simulation of post-exposure bake of chemically amplified resists. Proc. SPIE 5039 (2003). https://doi.org/10.1117/12/485080

  31. T. Mitchell, Machine Learning (McGraw-Hill, New York, 1997)

    MATH  Google Scholar 

  32. M.G. Moharam et al., Rigorous coupled-wave analysis of planar-grating diffraction. J. Opt. Soc. Am. A 71 (1981). https://doi.org/10.1364/JOSA.71.000811

  33. G. Moore, Cramming more components onto integrated circuits. Electronics 38, 114–117 (1965)

    Google Scholar 

  34. N. Nakayamada et al., Modeling of resist surface charging effect on EBM-8000 and its comparison with EBM-6000. Proc. SPIE 8701 (2013). https://doi.org/10.1117/12.2030095

  35. N. Nakayamada et al., Electron beam lithography modeling assisted by artificial intelligence technology. Proc. SPIE 10454 (2017). https://doi.org/10.1117/12/2282841

  36. O. Otto et al., Automated optical proximity correction: a rules-based approach. Proc. SPIE 2197 (1994). https://doi.org/10.1117/12.175422

  37. M. Rieger, Communication theory in optical lithography. J. Micro/Nanolithogr. MEMS MOEMS 11(1) (2012). https://doi.org/10.1117/1.JMM.11.1.013003

  38. S. Robertson, Negative tone development: gaining insight through physical simulation. Proc. SPIE 7972 (2011). https://doi.org/10.1117/12.879506

  39. D. Rumelhart, G. Hinton, R. Williams, Learning representations by backpropagating errors. Nature 323, 533 (1986)

    Article  Google Scholar 

  40. V. Rutigiliani et al., Setting up a proper power spectral density (PSD) and autocorrelation analysis for material and process characterization. Proc. SPIE 10585 (2018). https://doi.org/10.1117/12.2297264

  41. I. Santo et al., Accurate contour extraction from mask SEM image. Proc. SPIE 9050 (2014). https://doi.org/10.1117/12.2046530

  42. D. Shamiryan et al., Dry etching process for bulk finFET manufacturing. Microelectron. Eng. 86(1), 96–98 (2009)

    Article  Google Scholar 

  43. S. Shim et al., Etch proximity correction through machine-learning driven etch bias model. Proc. SPIE 9782 (2016). https://doi.org/10.1117/12.2219057

  44. S. Shim et al., Machine learning-based resist 3D model. Proc. SPIE 10147 (2017). https://doi.org/10.1117/12.2257904

  45. L. Stirniman, M. RIeger, Fast proximity correction with zone sampling. Proc. SPIE (1994). https://doi.org/10.1117/12.175423

    Google Scholar 

  46. I. Stobert et al., Etch correction and OPC: a look at the current and future of etch correction. 1493 Proc. SPIEE 8685 (2013). https://doi.org/10.1117/12.2015000

  47. L.F. Thompson et al. (eds.), Introduction to Microlithography, 2nd edn. (American Chemical Society, Washington, 1994)

    Google Scholar 

  48. Y. Watanabe et al., Accurate lithography simulation model based on convolutional neural networks. Proc. SPIE 10147 (2017). https://doi.org/10.1117/12.2257871

  49. F. Weisbuch, A.S. Naranaya, Assessing SEM contour based OPC models quality using rigorous simulation. Proc. SPIE 9051 (2014). https://doi.org/10.1117/12.2047826

  50. A.K. Wong et al., Massively parallel electromagnetic simulation for photolithographic applications. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 14 (1995). https://doi.org/10.1109/43.466339

  51. C. Wu et al., Photoresist 3D profile related etch process simulation and its application to full chip etch compact modeling. Proc. SPIE 9426 (2015). https://doi.org/10.1117/12.2086048

  52. M. Young, Modeling high numerical aperture optical lithography. Proc. SPIE 922 (1988). https://doi.org/10.1117/12.968409

  53. F. Zach, Neural network based approach to resist modeling and OPC. Proc. SPIE 5377 (2004). https://doi.org/10.1117/12.535931

  54. H. Zhang et al., An accurate ILT-enabling full-chip mask 3d model for all-angle patterns (2013). Proc. SPIE 8880. https://doi.org/10.1117/12.2026468

  55. R. Zimmerman et al., Predictive modeling for EBPC in EBDW. Proc. SPIE 7488 (2009). https://doi.org/10.1117/12.833482

Download references

Acknowledgements

Special thanks to Mike Rieger and John Stirniman for introducing me to this fascinating field.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to J. P. Shiely .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Shiely, J.P. (2019). Machine Learning for Compact Lithographic Process Models. In: Elfadel, I., Boning, D., Li, X. (eds) Machine Learning in VLSI Computer-Aided Design. Springer, Cham. https://doi.org/10.1007/978-3-030-04666-8_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-04666-8_2

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-04665-1

  • Online ISBN: 978-3-030-04666-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics