Skip to main content

Large-Scale Circuit Performance Modeling by Bayesian Model Fusion

  • Chapter
  • First Online:

Abstract

In this chapter, we describe a novel statistical framework, referred to as Bayesian Model Fusion (BMF), that allows us to minimize the simulation and/or measurement cost for both pre-silicon validation and post-silicon tuning of analog and mixed-signal (AMS) circuits with consideration of large-scale process variations. The BMF technique is motivated by the fact that today’s AMS design cycle typically spans multiple stages (e.g., schematic design, layout design, first tape-out, second tape-out, etc.). Hence, we can reuse the simulation and/or measurement data collected at an early stage to facilitate efficient validation and tuning of AMS circuits with a minimal amount of data at the late stage. The efficacy of BMF is demonstrated by using several industrial circuit examples.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   149.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD   199.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. S. Nassif, Modeling and analysis of manufacturing variations, in IEEE Conference on Custom Integrated Circuits (2001), pp. 223–228

    Google Scholar 

  2. X. Li, J. Le, L. Pileggi, Statistical Performance Modeling and Optimization (Now Publishers, Hanover, 2007)

    MATH  Google Scholar 

  3. Semiconductor Industry Associate, International Technology Roadmap for Semiconductors (Semiconductor Industry Association, Washington, 2011)

    Google Scholar 

  4. R. Rutenbar, G. Georges, J. Roychowdhury, Hierarchical modeling, optimization and synthesis for system-level analog and RF designs. Proc. IEEE 95(3), 640–669 (2007)

    Article  Google Scholar 

  5. A. Tang, F. Hsiao, D. Murphy, I. Ku, J. Liu, S. D’Souza, N. Wang, H. Wu, Y. Wang, M. Tang, G. Virbila, M. Pham, D. Yang, Q. Gu, Y. Wu, Y. Kuan, C. Chien, M. Chang, A low-overhead self-healing embedded system for ensuring high yield and long-term sustainability of 60GHz 4Gb/s radio-on-a-chip, in IEEE International Solid-State Circuits Conference (2012), pp. 316–318

    Google Scholar 

  6. S. Bowers, K. Sengupta, K. Dasgupta, A. Hajimiri, A fully-integrated self-healing power amplifier, in IEEE Radio Frequency Integrated Circuits Symposium (2012)

    Google Scholar 

  7. J. Plouchart, M. Ferriss, A. Natarajan, A. Valdes-Garcia, B. Sadhu, A. Rylyakov, B. Parker, M. Beakes, A. Babakani, S. Yaldiz, L. Pileggi, R. Harjani, S. Reynolds, J. Tierno, D. Friedman, A 23.5GHz PLL with an adaptively biased VCO in 32nm SOI-CMOS, in IEEE Custom Integrated Circuits Conference (2012)

    Google Scholar 

  8. N. Kupp, H. Huang, P. Drineas, Y. Makris, Post-production performance calibration in analog/RF devices, in IEEE International Test Conference (2010), pp. 245–254

    Google Scholar 

  9. D. Han, B. Kim, A. Chatterjee, DSP-driven self-tuning of RF circuits for process-induced performance variability. IEEE Trans. Very Large Scale Integr. Syst. 18(2), 305–314 (2010)

    Article  Google Scholar 

  10. E. Acar, S. Ozev, Low cost MIMO testing for RF integrated circuits. IEEE Trans. Very Large Scale Integr. Syst. 18(9), 1348–1356 (2010)

    Article  Google Scholar 

  11. S. Yaldiz, V. Calayir, X. Li, L. Pileggi, A. Natarajan, M. Ferriss, J. Tierno, Indirect phase noise sensing for self-healing voltage controlled oscillators, in IEEE Custom Integrated Circuits Conference (2011)

    Google Scholar 

  12. L. Wang, Data mining in functional test content optimization, in IEEE Asia and South Pacific Design Automation Conference (2015), pp. 308–315

    Google Scholar 

  13. L. Wang, Experience of data analytics in EDA and test-principles, promises, and challenges. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(6), 885–898 (2017)

    Article  Google Scholar 

  14. W. Chen, L. Wang, J. Bhadra, M. Abadir, Simulation knowledge extraction and reuse in constrained random processor verification, in Design Automation Conference (2013)

    Google Scholar 

  15. X. Li, W. Zhang, F. Wang, S. Sun, C. Gu, Efficient parametric yield estimation of analog/mixed-signal circuits via Bayesian model fusion, in International Conference on Computer-Aided Design (2012), pp. 627–634

    Google Scholar 

  16. C. Gu, E. Chiprout, X. Li, Efficient moment estimation with extremely small sample size via Bayesian inference for analog/mixed-signal validation, in Design Automation Conference (2013)

    Google Scholar 

  17. F. Wang, W. Zhang, S. Sun, X. Li, C. Gu, Bayesian model fusion: large-scale performance modeling of analog and mixed-signal circuits by reusing early-stage data, in Design Automation Conference (2013)

    Google Scholar 

  18. S. Sun, F. Wang, S. Yaldiz, X. Li, L. Pileggi, A. Natarajan, M. Ferriss, J. Plouchart, B. Sadhu, B. Parker, A. Valdes-Garcia, M. Sanduleanu, J. Tierno, D. Friedman, Indirect performance sensing for on-chip analog self-healing via Bayesian model fusion, in IEEE Custom Integrated Circuits Conference (2013)

    Google Scholar 

  19. G. Casella, R. Berger, Statistical Inference (Duxbury Press, Pacific Grove, 2001)

    MATH  Google Scholar 

  20. C. Bishop, Pattern Recognition and Machine Learning (Prentice Hall, Englewood Cliffs, 2007)

    Google Scholar 

  21. A. Papoulis, U. Pillai, Probability, Random Variables and Stochastic Processes (McGraw-Hill, New York, 2001)

    Google Scholar 

  22. X. Li, J. Le, P. Gopalakrishnan, L. Pileggi, Asymptotic probability extraction for nonnormal performance distributions. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(1), 16–37 (2007)

    Article  Google Scholar 

  23. A. Graupner, W. Schwarz, R. Schufny, Statistical analysis of analog structures through variance calculation. IEEE Trans. Circuits Syst. I Fundam. Theory Appl. 49(8), 1071–1078 (2002)

    Article  Google Scholar 

  24. S. Boyd, L. Vandenberghe, Convex Optimization (Cambridge University Press, Cambridge, 2004)

    Book  Google Scholar 

  25. P. Beckmann, Orthogonal Polynomials for Engineers and Physicists (Golem Press, Boulder, 1973)

    MATH  Google Scholar 

  26. R. Gonzalez, R. Woods, Digital Image Processing (Prentice Hall, Upper Saddle River, 2007)

    Google Scholar 

  27. B. Silverman, Density Estimation for Statistics and Data Analysis (Chapman & Hall/CRC, London, 1986)

    Book  Google Scholar 

  28. G. Box, N. Draper, Empirical Model-Building and Response Surfaces (Wiley, New York, 1987)

    MATH  Google Scholar 

  29. J. Swidzinski, M. Styblinski, G. Xu, Statistical behavioral modeling of integrated circuits. IEEE Int. Symp. Circuits Syst. 6, 98–101 (1998)

    Google Scholar 

  30. W. Daems, G. Gielen, W. Sansen, An efficient optimization-based technique to generate posynomial performance models for analog integrated circuits, in Design Automation Conference (2002), pp. 431–436

    Google Scholar 

  31. H. Liu, A. Singhee, R. Rutenbar, L. Carley, Remembrance of circuits past: macromodeling by data mining in large analog design spaces, in Design Automation Conference (2002), pp. 437–442

    Google Scholar 

  32. X. Li, J. Le, L. Pileggi, A. Strojwas, Projection-based performance modeling for inter/intra-die variations, in International Conference on Computer-Aided Design (2005), pp. 721–727

    Google Scholar 

  33. Z. Feng et al., Performance-oriented statistical parameter reduction of parameterized systems via reduced rank regression, in International Conference on Computer-Aided Design (2006), pp. 868–875

    Google Scholar 

  34. A. Singhee, R. Rutenbar, Beyond low-order statistical response surfaces: latent variable regression for efficient, highly nonlinear fitting, in Design Automation Conference (2007), pp. 256–261

    Google Scholar 

  35. A. Mitev, M. Marefat, D. Ma, J. Wang, Principle Hessian direction based parameter reduction with process variation, in International Conference on Computer-Aided Design (2007), pp. 632–637

    Google Scholar 

  36. T. McConaghy, G. Gielen, Template-free symbolic performance modeling of analog circuits via canonical-form functions and genetic programming. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(8), 1162–1175 (2009)

    Article  Google Scholar 

  37. X. Li, Finding deterministic solution from underdetermined equation: large-scale performance modeling of analog/RF circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(11), 1661–1668 (2010)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Jun Tao , Xin Li or Xuan Zeng .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Tao, J. et al. (2019). Large-Scale Circuit Performance Modeling by Bayesian Model Fusion. In: Elfadel, I., Boning, D., Li, X. (eds) Machine Learning in VLSI Computer-Aided Design. Springer, Cham. https://doi.org/10.1007/978-3-030-04666-8_14

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-04666-8_14

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-04665-1

  • Online ISBN: 978-3-030-04666-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics