Skip to main content

User-Defined Primitives

  • Chapter
VerilogĀ® Quickstart
  • 313 Accesses

Abstract

Although the aim of this book is to teach behavioral modeling in Verilog, the book would not be complete without mentioning user-defined primitives (UDPs). A UDP describes a piece of logic with a truth table. UDPs can be either combinatorial or sequential. As you may recall, the Verilog primitive set does not include any muxes, AND-OR-INVERT gates, or flip-flops. You can model all of these simple functions with UDPs.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

Ā© 1997 Springer Science+Business Media New York

About this chapter

Cite this chapter

Lee, J.M. (1997). User-Defined Primitives. In: VerilogĀ® Quickstart. Springer, Boston, MA. https://doi.org/10.1007/978-1-4615-6113-2_7

Download citation

  • DOI: https://doi.org/10.1007/978-1-4615-6113-2_7

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4613-7801-3

  • Online ISBN: 978-1-4615-6113-2

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics