Skip to main content
  • 250 Accesses

Abstract

In Chapter 1 we briefly discussed the main design styles supported by VHDL and introduced concepts of concurrent and sequential statements and their basic use in VHDL descriptions. The concept of concurrency is the essential one to VHDL and it differentiates VHDL from high-level programming languages. This concept is useful for both VHDL synthesis and simulation. Also, it helps to describe both combinational and registered logic. Concurrent statements are specified exclusively in one place in VHDL, between the begin and end statements of an architecture declaration. All statements described in this part of architecture declaration are considered to be parallel in the execution and of equal priority. Besides signal assignment statements which are used to describe parallel execution, processes can be used with the same purpose. There is no order dependency of concurrent statements, and, therefore, we can specify them in any order. The key element in description of concurrent executions is the concept of signals, as the mechanism to communicate between components and between processes. Various assignment statements can be considered as connections between different types of objects, just as it is described by netlists in schematic diagrams.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2001 Springer Science+Business Media New York

About this chapter

Cite this chapter

Salcic, Z. (2001). Concurrency and Behavioral Modeling. In: VHDL and FPLDs in Digital Systems Design, Prototyping and Customization. Springer, Boston, MA. https://doi.org/10.1007/978-1-4615-5827-9_5

Download citation

  • DOI: https://doi.org/10.1007/978-1-4615-5827-9_5

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4613-7671-2

  • Online ISBN: 978-1-4615-5827-9

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics