Skip to main content

Module port parameter lists

  • Chapter
Verilog — 2001

Part of the book series: The Springer International Series in Engineering and Computer Science ((SECS,volume 652))

Abstract

With Verilog-1995, the size of Verilog vectors can be declared using Verilog parameters, which are run-time constants. A “parameterized” module can be redefined for each instance of that module. Verilog syntax requires that the parameter be declared prior to using it as part of a port declaration or data type declaration.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2002 Springer Science+Business Media New York

About this chapter

Cite this chapter

Sutherland, S. (2002). Module port parameter lists. In: Verilog — 2001. The Springer International Series in Engineering and Computer Science, vol 652. Springer, Boston, MA. https://doi.org/10.1007/978-1-4615-1713-9_5

Download citation

  • DOI: https://doi.org/10.1007/978-1-4615-1713-9_5

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4613-5691-2

  • Online ISBN: 978-1-4615-1713-9

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics