Skip to main content
  • 274 Accesses

Abstract

In the previous chapter, the MTCMOS technique was used to reduce standby leakage power in combinational logic circuits, while attaining a sufficient performance. However, special attention must be paid to the MTCMOS design of sequential logic circuits such as latches and flip-flops (FFs) that have memory functions; this is necessary because stored data in the latch or FF circuits cannot be retained during the sleep standby mode because the virtual ground rails would be floating to cut off the leakage current. This would disconnect the storage nodes from the true power supply rails and possibly corrupt the stored data.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. S. Mutoh, S. Shigematsu, Y. Matsuya, H. Fukuda, T. Kaneko, and J. Yamada, “A 1-V Multithreshold-Voltage CMOS Digital Signal Processor for Mobile Phone Applications,” IEEE Journal of SolidState Circuits, vol. 31, no. 11, pp. 1795–1802,1996.

    Article  Google Scholar 

  2. S. Shigematsu, S. Mutah, Y. Matsuya, Y. Tanabe, and J. Yamada, “A 1-V High-Speed MTCMOS Circuit Scheme for Power-Down Application Circuits,” IEEE Journal of Solid-State Circuits, vol. 32, no. 6, pp. 861–869,1997.

    Article  Google Scholar 

  3. H. Akamatsu, T. Iwata, H. Yamamoto, T. Hirata, H. Yamauchi, H. Kotani, and A. Matsuzawa, “A Low Power Data Holding Circuit with an Intermittent Power Supply scheme for sub-IV MT-CMOS LSIs,” Symposium on VLSI Circuits Digest of Technical Papers, pp. 14–15,1996.

    Google Scholar 

  4. H. Akamatsu, T. Iwata, H. Yamauchi, H. Kotani, A. Matsuzawa, H. Yamamoto, and T. Hirata, “A Low Power Data Storage Circuit with an Intermittent Power Supply Scheme for Sub-IV MT-CMOS LSIs,” IEICE Transactions Electron., vol. E80-C, no. 12, pp. 1572–1577, December 1997.

    Google Scholar 

  5. H. Makino, Y. Tujihashi, K. Nii, C. Morishima, Y. Hayakawa, T. Shimizu, and T. Arakawa, “An Auto-Backgate-Controlled MT-CMOS Circuit,” in Digest of Technical Papers, IEEE Symposium on VLSI Technology, pp. 42–43,1998.

    Google Scholar 

  6. K. Nii, H. Makino, Y. Tujihashi, C. Morishima, Y.Hayakawa, H. Nunogami, T. Arakawa, and H. Hamano, “A Low Power SRAM using Auto-Backgate-Controlled MT-CMOS,” in Proceedings of the International Symposium on Low-Power Electronics and Design, 1998, pp. 293–298.

    Google Scholar 

  7. H. Notani, M. Koyama, R. Mano, H. Makino, and Y. Matsuda, “A 0.9-µA Standby Current DSP Core Using Improved ABC-MT-CMOS with Charge Pump Circuit,” Symposium on VLSI Circuits Digest of Technical Papers, pp. 221–222, June 2001.

    Google Scholar 

  8. K. Kumagai, H. Iwaki, H. Yoshida, H. Suzuki, T. Yamada, and S. Kurosawa, “A Novel Powering-Down Scheme for Low Vt CMOS Circuits,” Symposium on VLSI Circuits Digest of Technical Papers, pp. 44–45, June 1998.

    Google Scholar 

  9. J. Kao and A. Chandrakasan, “MTCMOS Sequential Circuits,” in Proceedings of European Solid-State Circuits Conference, pp. 332–335, September 2001.

    Google Scholar 

  10. J. Kao, Subthreshold Leakage Control Techniques for Low Power Digital Circuits, Ph.D. Thesis, Massachusetts Institute of Technology, May 2001.

    Google Scholar 

  11. M. Hirabayashi, K. Nose, and T. Sakurai, “Design Methodology and Optimization Strategy for Dual-Vth Scheme using Commercially Available Tools,” in Proceedings of the International Symposium on Low Power Electronics and Design, pp. 283–286, August 2001.

    Google Scholar 

Further Reading

  • R. Ramanarayanan, N. Vijaykrishnan, and M. Irwin, “Characterizing Dynamic and Leakage Power Behavior in Flip-Flops,” in Proc. IEEE ASIC/SOC Conference, pp.433–437, September 2002.

    Chapter  Google Scholar 

  • V. Zyuban and S. Kosonocky, “Low Power Integrated Scan-Retention Mechanism,” in Proc. IEEE International Symposium on Low Power Electronics and Design, pp.98–102, August 2002.

    Chapter  Google Scholar 

  • J. Wang and H. Li, “0.9-V Sense-Amplifier-Based Reduced-Clock-Swing MTCMOS Flip-Flops,” in Proc. IEEE Asia-Pacific Conference on ASIC, pp.271–274, August 2002.

    Chapter  Google Scholar 

  • M. Stan and M. Barcella, “MTCMOS with Outer Feedback (MTOF) Flip-Flops,” in Proc. IEEE International Symposium on Circuits and Systems, Vol.5, pp.429–432, May 2003.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2003 Springer Science+Business Media New York

About this chapter

Cite this chapter

Anis, M., Elmasry, M. (2003). MTCMOS Sequential Circuits. In: Multi-Threshold CMOS Digital Circuits. Springer, Boston, MA. https://doi.org/10.1007/978-1-4615-0391-0_5

Download citation

  • DOI: https://doi.org/10.1007/978-1-4615-0391-0_5

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4613-5053-8

  • Online ISBN: 978-1-4615-0391-0

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics