Skip to main content

Asynchronous Circuit Design: Motivation, Background, & Methods

  • Conference paper

Part of the book series: Workshops in Computing ((WORKSHOPS COMP.))

Abstract

The purpose of this book is to present a current view of the state of the art for the field of asynchronous circuit design and analysis which was the topic of a workshop in Banff in the fall of 1993. Asynchronous circuits have been studied in one form or another since the early 1950’s [64] when the focus was primarily on mechanical relay circuits and when the differences between the asynchronous and clocked circuit design styles were somewhat indistinct. A number of theoretical issues were studied in detail by Muller and Bartky as early as 1956 [92]. Since then, the field of asynchronous circuits has gone through a number of high-interest cycles. In the last 5 years there has been an unprecedented level of interest in both academic and industrial settings [56]. This historical trend continues today with the majority of the current research effort focused more on theory than on practice. Nonetheless, the advance of practical asynchronous circuit design techniques also has an unusual level of interest. The work presented at the Banff workshop was concerned more with practice than theory and provided a reasonable coverage of the current approaches to asynchronous circuit design. Similarly this chapter will primarily focus on practical design issues. Prior to introducing the four chapters which follow, we present an introduction to the basic concepts and motivations behind asynchronous circuit design. This will hopefully enable those not already familiar with asynchronous circuit design to better understand the subsequent chapters.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. W. B. Ackerman and J. B. Dennis. VAL - A Value-Oriented Algorithmic Language Preliminary Reference Manual. Technical Report LCS/TR218, Massachusetts Institute Technology, Computer Science Department, 1979.

    Google Scholar 

  2. M. Afhahi and C. Svensson. Performance of Synchronous and Asynchronous Schemes for VLSI Systems. IEEE Transactions on Computers, 41 (7): 858–872, July 1992.

    Article  Google Scholar 

  3. F. Aghdasi. Synthesis of asynchronous sequential machines for VLSI applications. In Proceedings of the 1991 International Conference on Concurrent Engineering and Electronic Design Automation (CEEDA), pages 55–59, March 1991.

    Google Scholar 

  4. V. Akella and G. Gopalakrishnan. SHILPA: a high-level synthesis system for self-timed circuits. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pages 587–91. IEEE Computer Society Press, November 1992.

    Chapter  Google Scholar 

  5. D.B. Armstrong, A.D. Friedman, and P.R. Menon. Realization of asynchronous sequential circuits without inserted delay elements. IEEE Transactions on Computers, C-17(2): 129–134, February 1968.

    Google Scholar 

  6. H. B. Bakoglu. Circuits, Interconnections, and Packaging for VLSI. Addison-Wesley, 1990.

    Google Scholar 

  7. P. Beerel and T. Meng. Semi-Modularity and Self-Diagnostic Asynchronous Control Circuits. In Carlo H. Sequin, editor, Proceedings of the 1991 University of California/Santa Cruz Conference, pages 103–117. The MIT Press, 1991.

    Google Scholar 

  8. P.A. Beerel, J. Burch, and T. Meng. Efficient verification of determinate speed-independent circuits. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pages 261–267. IEEE Computer Society Press, November 1993.

    Google Scholar 

  9. P.A. Beerel and T. Meng. Automatic gate-level synthesis of speed-independent circuits. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pages 581–586. IEEE Computer Society Press, November 1992.

    Chapter  Google Scholar 

  10. P.A. Beerel and T. H.-Y. Meng. Testability of asynchronous timed control circuits with delay assumptions. In Proceedings of the 28th ACM/IEEE Design Automation Conference, pages 446–451. ACM, June 1991.

    Google Scholar 

  11. J. Beister. A unified approach to combinational hazards. IEEE Transactions on Computers, C-23(6): 566–575, June 1974.

    Google Scholar 

  12. I. Benko and J.C. Ebergen. Delay-insensitive solutions to the committee problem. In Proceedings of the International Symposium on Advanced Research in Asynchronous Circuits and Systems (Async94), pages 228–237. IEEE Computer Society Press, November 1994.

    Google Scholar 

  13. G. Birtwistle and Y. Liu. Specification of the Manchester Amulet 1: Top level Specification. Computer Science Department Technical Report, University of Calgary, December 1994.

    Google Scholar 

  14. J.G. Bredeson. Synthesis of multiple-input change hazard-free combinational switching circuits without feedback. International Journal of Electronics (GB), 39 (6): 615–624, December 1975.

    Google Scholar 

  15. J.G. Bredeson and P.T. Hulina Elimination of static and dynamic hazards for multiple input changes in combinational switching circuits. Information and Control, 20: 114–224, 1972.

    Article  MathSciNet  MATH  Google Scholar 

  16. E. Brunvand. Translating concurrent communicating programs into asynchronous circuits. Technical Report CMU-CS-91–198, Carnegie Mellon University, 1991. Ph.D. Thesis.

    Google Scholar 

  17. E. Brunvand. The NSR processor. In Proceedings of the Twenty-Sixth Annual Hawaii International Conference on System Sciences, volume I, pages 428–435. IEEE Computer Society Press, January 1993.

    Chapter  Google Scholar 

  18. E. Brunvand and R. F. Sproull. Translating concurrent programs into delay-insensitive circuits. In Proceedings of the IEEE International Conference on Computer-Aided Design, pages 262–265. IEEE Computer Society Press, November 1989.

    Google Scholar 

  19. J.A. Brzozowski and J.C. Ebergen. Recent developments in the design of asynchronous circuits. Technical Report CS-89–18, University of Waterloo, Computer Science Department, 1989.

    Google Scholar 

  20. J.A. Brzozowski and J.C. Ebergen. On the delay-sensitivity of gate networks. IEEE Transactions on Computers, 41 (11): 1349–1360, November 1992.

    Article  MathSciNet  Google Scholar 

  21. S. M. Burns. Automated compilation of concurrent programs into self-timed circuits. Technical Report Caltech-CS-TR-88–2, California Institute of Technology, 1987. M.S. Thesis.

    Google Scholar 

  22. S.M. Burns. Performance analysis and optimization of asynchronous circuits. Technical Report Caltech-CS-TR-91–01, California Institute of Technology, 1991. Ph.D. Thesis.

    Google Scholar 

  23. S.M. Burns and A.J. Martin. Syntax-directed translation of concurrent programs into self-timed circuits. In J. Allen and T.F. Leighton, editors, Advanced Research in VLSI: Proceedings of the Fifth MIT Conference, pages 35–50. MIT Press, Cambridge, MA, 1988.

    Google Scholar 

  24. T. J. Chaney and C. E. Molnar. Anomalous Behaviour of Synchronizer and Arbiter Circuits. IEEE Transactions on Computers, C-22(4):421422, 1973.

    Google Scholar 

  25. T.J. Chaney, S.M. Ornstein, and W.M. Littlefield. Beware the synchronizer. In IEEE 6th International Computer Conference, pages 317–319, 1972.

    Google Scholar 

  26. V. L. Chi. Salphasic Distribution of Clock Signals for Synchronous Systems. IEEE Transactions on Computers, 43 (5): 597–602, May 1994.

    Article  MathSciNet  Google Scholar 

  27. J.-S. Chiang and D. Radhakrishnan. Hazard-free design of mixed operating mode asynchronous sequential circuits. International Journal of Electronics, 68 (1): 23–37, January 1990.

    Article  Google Scholar 

  28. T.-A. Chu. Synthesis of self-timed vlsi circuits from graph-theoretic specifications. Technical Report MIT-LCS-TR-393, Massachusetts Institute of Technology, 1987. Ph.D. Thesis.

    Google Scholar 

  29. T.-A. Chu. Automatic synthesis and verification of hazard-free control circuits from asynchronous finite state machine specifications. In Proceedings of the IEEE International Conference on Computer Design, pages 407–413. IEEE Computer Society Press, 1992.

    Google Scholar 

  30. H.Y.H. Chuang and S. Das. Synthesis of multiple-input change asynchronous machines using controlled excitation and flip-flops. IEEE Transactions on Computers, C-22(12): 1103–1109, December 1973.

    Google Scholar 

  31. W.A. Clark. Macromodular computer systems. In Proceedings of the Spring Joint Computer Conference, AFIPS, April 1967.

    Google Scholar 

  32. F. Commoner, A. Holt, S. Even, and A. Pnueli. Marked directed graphs. Journal of Computer and System Sciences, 5 (5): 511–523, October 1971.

    Article  MathSciNet  MATH  Google Scholar 

  33. J. Cortadella, L. Lavagno, P. Vanbekbergen, and A. Yakovlev. Designing asynchronous circuits from behavioural specifications with internal conflicts. In Proceedings of the International Symposium on Advanced Research in Asynchronous Circuits and Systems (Async94), pages 106115. IEEE Computer Society Press, November 1994.

    Google Scholar 

  34. I. David, R. Ginosar, and M. Yoeli. Self-timed implementation of a reduced instruction set computer. Technical Report 732, Technion and Israel Institute of Technology, October 1989.

    Google Scholar 

  35. A. Davis, B. Coates, and K. Stevens. Automatic synthesis of fast compact self-timed control circuits. In 1993 IFIP Working Conference on Asynchronous Design Methodologies (Manchester, England), 1993.

    Google Scholar 

  36. A.L. Davis. The architecture and system method of DDM-1: A recursively-structured data driven machine. In Proc. Fifth Annual Symposium on Computer Architecture, 1978.

    Google Scholar 

  37. A.L. Davis. A data-driven machine architecture suitable for VLSI implementation. In C.L. Seitz, editor, Proceedings of the Caltech Conference on Very Large Scale Integration, pages 479–494, January 1979.’

    Google Scholar 

  38. A.L. Davis, B. Coates, and K. Stevens. The post office experience: Designing a large asynchronous chip. In Proceedings of the Twenty-Sixth Annual Hawaii International Conference on System Sciences, volume I, pages 409–418. IEEE Computer Society Press, January 1993.

    Chapter  Google Scholar 

  39. M.E. Dean. STRiP: A self-timed RISC processor architecture. Technical report, Stanford University, 1992. Ph.D. Thesis.

    Google Scholar 

  40. M.E. Dean, D.L. Dill, and M. Horowitz. Self-timed logic using current-sensing completion detection (CSCD). In Proceedings of the IEEE International Conference on Computer Design. IEEE Computer Society Press, October 1991.

    Google Scholar 

  41. M.E. Dean, T.E. Williams, and D.L. Dill. Efficient self-timing with level-encoded 2-phase dual-rail (LEDR). In Carlo Sequin, editor Advanced Research in VLSI: Proceedings of the 1991 University of California Santa Cruz Conferencepages 55–70. The MIT Press, 1991. ISBN 0–262-19308–6.

    Google Scholar 

  42. S. Devadas, K. Keutzer, S. Malik, and A. Wang. Verification of asynchronous interface circuits with bounded wire delays. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pages 188–195. IEEE Computer Society Press, November 1992.

    Chapter  Google Scholar 

  43. D.L. Dill. Trace Theory for Automatic Hierarchical Verification of Speed-Independent Circuits. MIT Press, Cambridge, MA, 1989.

    Google Scholar 

  44. D.L. Dill, S.M. Nowick, and R.F. Sproull. Specification and automatic verification of self-timed queues. Formal Methods in System Design, 1 (1): 29–60, July 1992.

    Article  MATH  Google Scholar 

  45. D. W. Dobberpuhl and et al. A 200-MHz 64-bit Dual-issue CMOS Microprocessor. Digital Technical Journal, 4 (4): 35–50, 1993.

    Google Scholar 

  46. J.C. Ebergen. A formal approach to designing delay-insensitive circuits. Distributed Computing, 5 (3): 107–119, 1991.

    Article  MATH  Google Scholar 

  47. J.C. Ebergen. A verifier for network decompositions of command-based specifications. In Proceedings of the Twenty-Sixth Annual Hawaii International Conference on System Sciences, volume I, pages 310–318. IEEE Computer Society Press, January 1993.

    Chapter  Google Scholar 

  48. E.B. Eichelberger. Hazard detection in combinational and sequential switching circuits. IBM Journal of Research and Development, 9 (2): 9099, 1965.

    Article  Google Scholar 

  49. J. Frackowiak. Methoden der analyse und synthese von hasardarmen schaltnetzen mit minimalen kosten I. Elektronische Informationsverarbeitung und Kybernetik, 10 (2/3): 149–187, 1974.

    MathSciNet  Google Scholar 

  50. A.D. Friedman and P.R. Menon. Synthesis of asynchronous sequential circuits with multiple-input changes. IEEE Transactions on Computers, C-17(6): 559–566, June 1968.

    Google Scholar 

  51. S. B. Furber, P. Day, J. D. Garside, N. C. Paver, and J. V. Woods. A micropipelined ARM. In Proceedings of VLSI 93, pages 5.4.1 —5.4. 10, September 1993.

    Google Scholar 

  52. S.B. Furber, P. Day, J.D. Garside, N.C. Paver, S. Temple, and J.V. Woods. The design and evaluation of an asynchronous microprocessor. In Proceedings of the IEEE International Conference on Computer Design, pages 217–220. IEEE Computer Society Press, October 1994.

    Google Scholar 

  53. R. Ginosar and N. Michell. On the potential of asynchronous pipelined processors. Technical Report UUCS-90–015, VLSI Systems Research Group, University of Utah, 1990.

    Google Scholar 

  54. G. Gopalakrishnan. Micropipeline wavefront arbiters using lockable C-elements. IEEE Design and Test, 11 (4): 55–64, Winter 1994.

    MathSciNet  Google Scholar 

  55. G. Gopalakrishnan, E. Brunvand, N. Michell, and S.M. Nowick. A correctness criterion for asynchronous circuit validation and optimization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 13 (11): 1309–1318, November 1994.

    Article  Google Scholar 

  56. S. Hauck. Asynchronous design methodologies: An overview. Proceedings of the IEEE, 83 (1): 69–93, January 1995.

    Article  MathSciNet  Google Scholar 

  57. A.B. Hayes. Stored state asynchronous sequential circuits. IEEE Transactions on Computers, C-30(8): 596–600, August 1981.

    Google Scholar 

  58. C.A.R. Hoare. Communicating sequential processes. Communications of the ACM, 21 (8): 666–677, August 1978.

    Article  MathSciNet  MATH  Google Scholar 

  59. D. A. Huffman. The synthesis of sequential switching circuits. Journal of the Franklin Institute, 257 (3): 161–190, March 1954.

    Article  MathSciNet  Google Scholar 

  60. D. A. Huffman. The synthesis of sequential switching circuits. Journal of the Franklin Institute, 257 (4): 275–303, April 1954.

    Article  MathSciNet  Google Scholar 

  61. H. Hulgaard, S.M. Burns, T. Amon, and G. Borriello. Practical applications of an efficient time separation of events algorithm. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pages 146–151. IEEE Computer Society Press, November 1993.

    Google Scholar 

  62. K. Hwang. Computer Arithmetic: Principles, Architecture, and Design. John Wiley and Sons, 1979.

    Google Scholar 

  63. M.B. Josephs and J.T. Udding. An overview of D-I algebra. In Proceedings of the Twenty-Sixth Annual Hawaii International Conference on System Sciences, volume I, pages 329–338. IEEE Computer Society Press, January 1993.

    Chapter  Google Scholar 

  64. W. Keister, A. E. Ritchie, and S. H. Washburn. The Design of Switching Circuits. Van Nostrand, Princeton, New Jersey, 1951.

    Google Scholar 

  65. K. Keutzer, L. Lavagno, and A. Sangiovanni-Vincentelli. Synthesis for testability techniques for asynchronous circuits. In Proceedings of the IEEE International Conference on Computer-Aided Design, pages 326329. IEEE Computer Society Press, November 1991.

    Google Scholar 

  66. A. Khoche and E. Brunvand. Testing micropipelines. In Proceedings of the International Symposium on Advanced Research in Asynchronous Circuits and Systems (Async94), pages 239–246. IEEE Computer Society Press, November 1994.

    Google Scholar 

  67. A. Kondratyev, M. Kishinevsky, B. Lin, P. Vanbekbergen, and A. Yakovlev. Basic gate implementation of speed-independent circuits. In Proceedings of the 31st ACM/IEEE Design Automation Conference, pages 56–62. ACM, June 1994.

    Google Scholar 

  68. D.S. Kung. Hazard-non-increasing gate-level optimization algorithms. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pages 631–634. IEEE Computer Society Press, November 1992.

    Chapter  Google Scholar 

  69. M. Ladd and W. P. Birmingham. Synthesis of multiple-input change asynchronous finite state machines. In Proceedings of the 28th ACM/IEEE Design Automation Conference, pages 309–314. ACM, June 1991.

    Google Scholar 

  70. L. Lavagno, K. Keutzer, and A. Sangiovanni-Vincentelli. Algorithms for synthesis of hazard-free asynchronous circuits. In Proceedings of the 28th ACM/IEEE Design Automation Conference, pages 302–308. ACM, June 1991.

    Google Scholar 

  71. L. Lavagno, C.W. Moon, R.K. Brayton, and A. Sangiovanni-Vincentelli. Solving the state assignment problem for signal transition graphs. In Proceedings of the 29th IEEE/ACM Design Automation Conference, pages 568–572. IEEE Computer Society Press, June 1992.

    Google Scholar 

  72. A. Liebchen and G. Gopalakrishnan. Dynamic reordering of high latency transactions using a modified micropipeline. In Proceedings of the IEEE International Conference on Computer Design, pages 336–340. IEEE Computer Society Press, 1992.

    Google Scholar 

  73. B. Lin and S. Devadas. Synthesis of hazard-free multi-level logic under multiple-input changes from binary decision diagrams. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pages 542–549. IEEE Computer Society Press, November 1994.

    Google Scholar 

  74. K.-J. Lin and C.-S. Lin. Automatic synthesis of asynchronous circuits. In Proceedings of the 28th ACM/IEEE Design Automation Conference, pages 296–301. ACM, June 1991.

    Google Scholar 

  75. C.N. Liu. A state variable assignment method for asynchronous sequential switching circuits. Journal of the ACM, 10: 209–216, April 1963.

    Article  MATH  Google Scholar 

  76. G. Mago. Realization methods for asynchronous sequential circuits. IEEE Transactions on Computers, C-20(3): 290–297, March 1971.

    Google Scholar 

  77. A. Marshall, B. Coates, and P. Siegel. The design of an asynchronous communications chip. IEEE Design and Test, 11(2):8–21,Summer 1994.

    Google Scholar 

  78. A.J. Martin. The limitation to delay-insensitivity in asynchronous circuits. In W.J. Dally, editor, Advanced Research in VLSI: Proceedings of the Sixth MIT Conference, pages 263–278. MIT Press, Cambridge, MA, 1990.

    Google Scholar 

  79. A.J. Martin. Programming in VLSI: From communicating processes to delay-insensitive circuits. In C.A.R. Hoare, editor, Developments in Con-currency and Communication, UT Year of Programming Institute on Concurrent Programming, pages 1–64. Addison-Wesley, Reading, MA, 1990.

    Google Scholar 

  80. A.J. Martin, S.M. Burns, T.K. Lee, D. Borkovic, and P.J. Hazewindus. The design of an asynchronous microprocessor. In 1989 Caltech Conference on Very Large Scale Integration, 1989.

    Google Scholar 

  81. A.J. Martin and P.J. Hazewindus. Testing delay-insensitive circuits. In Carlo H. Séquin, editor, Advanced Research in VLSI: Proceedings of the 1991 UC Santa Cruz Conference, pages 118–132. MIT Press, 1991.

    Google Scholar 

  82. E.J. McCluskey. Introduction to the Theory of Switching Circuits. McGraw-Hill, New York, NY, 1965.

    MATH  Google Scholar 

  83. E.J. McCluskey. Logic Design Principles: with emphasis on testable semi-custom circuits. Prentice-Hall, Englewood Cliffs, NJ, 1986.

    Google Scholar 

  84. K. McMillan and D.L. Dill. Algorithms for interface timing verification. In Proceedings of the IEEE International Conference on Computer Design, pages 48–51. IEEE Computer Society Press, October 1992.

    Google Scholar 

  85. C. Mead and L. Conway. Introduction to VLSI Systems, chapter 7. Addison-Wesley, Reading, MA, 1980. C.L. Seitz, System Timing.

    Google Scholar 

  86. T. H.-Y. Meng, R.W. Brodersen, and D.G. Messerschmitt. Automatic synthesis of asynchronous circuits from high-level specifications. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 8 (11): 1185–1205, November 1989.

    Article  Google Scholar 

  87. T.H. Meng. Synchronization Design for Digital Systems. Kluwer Academic Publishers, Boston, MA, 1991.

    Book  Google Scholar 

  88. R.E. Miller. Switching Theory. Volume II: Sequential Circuits and Machines. John Wiley and Sons, New York, NY, 1965.

    Google Scholar 

  89. R. Milner. Communication and Concurrency. Prentice Hall, London, 1989.

    MATH  Google Scholar 

  90. C.E. Molnar, T.-P. Fang, and F.U. Rosenberger. Synthesis of delay-insensitive modules. In Henry Fuchs, editor, Proceedings of the 1985 Chapel Hill Conference on Very Large Scale Integration, pages 67–86. CSP, Inc., 1985.

    Google Scholar 

  91. C.W. Moon, P.R. Stephan, and R.K. Brayton. Synthesis of hazard-free asynchronous circuits from graphical specifications. In Proceedings of the IEEE International Conference on Computer-Aided Design, pages 322325. IEEE Computer Society Press, November 1991.

    Google Scholar 

  92. D. E. Muller and W. S. Bartky. A theory of asynchronous circuits I. Digital Computer Laboratory 75, University of Illinois, November 1956.

    Google Scholar 

  93. D. E. Muller and W. S. Bartky. A theory of asynchronous circuits II. Digital Computer Laboratory 78, University of Illinois, March 1957.

    Google Scholar 

  94. C. Myers and T. Meng. Synthesis of timed asynchronous circuits. In Proceedings of the IEEE International Conference on Computer Design, pages 279–284. IEEE Computer Society Press, October 1992.

    Google Scholar 

  95. T. Nanya, Y. Ueno, H. Kagotani, M. Kuwako, and A. Takamura. TITAC: design of a quasi-delay-insensitive microprocessor. IEEE Design and Test, 11 (2): 50–63, Summer 1994.

    Google Scholar 

  96. C.D. Nielsen and A. Martin. The design of a delay-insensitive multiply-accumulate unit. In Proceedings of the Twenty-Sixth Annual Hawaii International Conference on System Sciences, volume I, pages 379–388. IEEE Computer Society Press, January 1993.

    Chapter  Google Scholar 

  97. L.S. Nielsen, C. Niessen, J. Sparso, and K. van Berkel. Low-Power Operation Using Self-Timed Circuits and Adaptive Scaling of the Supply Voltage. IEEE Transactions on VLSI, 2 (4): 7, 1994.

    Article  Google Scholar 

  98. S.M. Nowick. Automatic synthesis of burst-mode asynchronous controllers. Technical report, Stanford University, 1993. Ph.D. Thesis.

    Google Scholar 

  99. S.M. Nowick, M.E. Dean, D.L. Dill, and M. Horowitz. The design of a high-performance cache controller: a case study in asynchronous synthesis. INTEGRATION, the VLSI journal, 15 (3): 241–262, October 1993.

    Article  Google Scholar 

  100. S.M. Nowick and D.L. Dill. Synthesis of asynchronous state machines using a local clock. In Proceedings of the IEEE International Conference on Computer Design, pages 192–197. IEEE Computer Society Press, October 1991.

    Google Scholar 

  101. S.M. Nowick and D.L. Dill. Exact two-level minimization of hazard-free logic with multiple-input changes. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pages 626–630. IEEE Computer Society Press, November 1992.

    Chapter  Google Scholar 

  102. S.M. Nowick, N.K. Jha, and F.-C. Cheng. Synthesis of asynchronous circuits for stuck-at and robust path delay fault testability. In Proceedings of the Eighth International Conference on VLSI Design (VLSI Design 95). IEEE Computer Society Press, January 1995.

    Google Scholar 

  103. S.M. Nowick, K.Y. Yun, and D.L. Dill. Practical asynchronous controller design. In Proceedings of the IEEE International Conference on Computer Design, pages 341–345. IEEE Computer Society Press, October 1992.

    Google Scholar 

  104. S.S. Patil. An Asynchronous Logic Array. Technical Report Technical Memorandom 62, Massachusetts Institute of Technology, Project MAC, 1975.

    Google Scholar 

  105. N.C. Paver. The design and implementation of an asynchronous microprocessor. Technical report, University of Manchester, June 1994. Ph.D. Thesis.

    Google Scholar 

  106. N.C. Paver, P. Day, S.B. Furber, J.D. Garside, and J.V. Woods. Register locking in an asynchronous microprocessor. In Proceedings of the IEEE International Conference on Computer Design, pages 351–355. IEEE Computer Society Press, October 1992.

    Google Scholar 

  107. J.L. Peterson. Petri Net Theory and the Modeling of Systems. Prentice-Hall, Englewood Cliffs, NJ, 1981.

    Google Scholar 

  108. R. Puri and J. Gu. Area efficient synthesis of asynchronous interface circuits. In Proceedings of the IEEE International Conference on Computer Design, pages 212–216. IEEE Computer Society Press, October 1994.

    Google Scholar 

  109. M. Rem, J.L.A. van de Snepscheut, and J.T. Udding. Trace theory and the definition of hierarchical components. In Randal Bryant, editor, Proceedings of the Third Caltech Conference on Very Large Scale Integration, pages 225–239. CSP, Inc., 1983.

    Chapter  Google Scholar 

  110. R. D. Rettberg, W. R. Crowther, P. P. Carvey, and R. S. Tomlinson. The Monarch Parallel Processor Hardware Design. Computer, 23 (4): 1830, April 1990.

    Article  Google Scholar 

  111. C.A. Rey and J. Vaucher. Self-synchronized asynchronous sequential machines. IEEE Transactions on Computers, C-23(12): 1306–1311, December 1974.

    Google Scholar 

  112. M. Roncken. Partial scan test for asynchronous circuits illustrated on a DCC error corrector. In Proceedings of the International Symposium on Advanced Research in Asynchronous Circuits and Systems (Async94), pages 247–256. IEEE Computer Society Press, November 1994.

    Google Scholar 

  113. Marly Roncken and Ronald Saeijs. Linear Test Times for Delay-Insensitive Circuits: a Compilation Strategy. In S. Farber and M. Edwards, editors, Proceedings of the IFIP WG 10.5 Working Conference on Asynchronous Design Methodologies, Manchester, pages 13–27. Elsevier Science Publishers B.V., 1993.

    Google Scholar 

  114. L.Y. Rosenblum and A.V. Yakovlev. Signal graphs: from self-timed to timed ones. In Proceedings of International Workshop on Timed Petri Nets, Torino, Italy, pages 199–207. IEEE Computer Society Press, July 1985.

    Google Scholar 

  115. C.-J. Seger. A bounded delay race model. In Proceedings of the IEEE International Conference on Computer-Aided Design, pages 130–133. IEEE Computer Society Press, November 1989.

    Google Scholar 

  116. C.L. Seitz. Asynchronous machines exhibiting concurrency. In Conference Record of the Project MAC Conference on Concurrent Systems and Parallel Computation, 1970.

    Google Scholar 

  117. C.L. Seitz. Graph representations for logical machines. PhD thesis, MIT, Jan 1971.

    Google Scholar 

  118. P. Siegel, G. De Micheli, and D. Dill. Technology mapping for generalized fundamental-mode asynchronous designs. In 30th ACM/IEEE Design Automation Conference, June 1993. To appear.

    Google Scholar 

  119. R. L. Sites. Alpha Architecture Reference Manual. Digital Equipment Corporation, 1992.

    Google Scholar 

  120. J. Sparso and J. Staunstrup. Design and performance analysis of delay insensitive multi-ring structures. In Proceedings of the Twenty-Sixth Annual Hawaii International Conference on System Sciences, volume I, pages 349–358. IEEE Computer Society Press, January 1993.

    Chapter  Google Scholar 

  121. R.F. Sproull, I.E. Sutherland, and C.E. Molnar. The counterflow pipeline processor architecture. IEEE Design éi Test of Computers, 11 (3): 48–59, 1994.

    Article  Google Scholar 

  122. K. Stevens. Practical Verification and Synthesis of Low Latency Asynchronous Systems. PhD Thesis, Computer Science Department, University of Calgary, 1994.

    Google Scholar 

  123. K. Stevens, J. Aldwinckle, G. Birtwistle, and Y. Liu. Designing parallel specifications in CCS. In Proceedings of Canadian Conference on Electrical and Computer Engineering, Vancouver, 1993.

    Google Scholar 

  124. I.E. Sutherland. Micropipelines. Communications of the ACM, 32 (6): 720–738, June 1989.

    Article  Google Scholar 

  125. M.A. Tapia. Synthesis of asynchronous sequential systems using boolean calculus. In 14th Asilomar Conference on Circuits, Systems and Computers, pages 205–209, November 1980.

    Google Scholar 

  126. J.H. Tracey. Internal state assignments for asynchronous sequential machines. IEEE Transactions on Electronic Computers, EC-15: 551–560, August 1966.

    Google Scholar 

  127. J.T. Udding. A formal model for defining and classifying delay-insensitive circuits and systems. Distributed Computing, 1 (4): 197–204, 1986.

    Article  Google Scholar 

  128. S.H. Unger. Asynchronous Sequential Switching Circuits. WileyInterscience, New York, NY, 1969.

    Google Scholar 

  129. S.H. Unger. Asynchronous sequential switching circuits with unrestricted input changes. IEEE Transactions on Computers, C-20(12): 1437–1444, December 1971.

    Google Scholar 

  130. S.H. Unger. Self-synchronizing circuits and nonfundamental mode operation. IEEE Transactions on Computers (Correspondence), C-26(3):278281, March 1977.

    Google Scholar 

  131. S.H. Unger. A building block approach to unclocked systems. In Proceedings of the Twenty-Sixth Annual Hawaii International Conference on System Sciences, volume I, pages 339–348. IEEE Computer Society Press, January 1993.

    Chapter  Google Scholar 

  132. C.H. van Berkel and R.W.J.J. Saeijs. Compilation of communicating processes into delay-insensitive circuits. In Proceedings of the IEEE International Conference on Computer Design, pages 157–162. IEEE Computer Society Press, 1988.

    Google Scholar 

  133. K. van Berkel. Handshake Circuits. An asynchronous architecture for VLSI programming. International Series on Parallel Computation 5. Cambridge University Press, 1993.

    Google Scholar 

  134. K. van Berkel, R. Burgess, J. Kessels, A. Peeters, M. Roncken, and F. Schalij. Asynchronous Circuits for Low Power: a DCC Error Corrector. IEEE Design e.4 Test, 11(2):22–32,June 1994.

    Google Scholar 

  135. Kees van Berkel. Beware the isochronic fork. Integration, the VLSI journal, 13 (2): 103–128, 1992.

    Article  Google Scholar 

  136. P. Vanbekbergen, F. Catthoor, G. Goossens, and H. De Man. Optimized synthesis of asynchronous control circuits from graph-theoretic specifications. In Proceedings of the IEEE International Conference on Computer-Aided Design, pages 184–187. IEEE Computer Society Press, November 1990.

    Google Scholar 

  137. P. Vanbekbergen, B. Lin, G. Goossens, and H. De Man. A generalized state assignment theory for transformations on signal transition graphs. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pages 112–117. IEEE Computer Society, November 1992.

    Chapter  Google Scholar 

  138. V.I. Varshaysky, M.A. Kishinevsky, V.B. Marakhovsky, V.A. Peschansky, L.Y. Rosenblum, A.R. Taubin, and B.S. Tzirlin. Self-timed Control of Concurrent Processes. Kluwer Academic Publishers, 1990. Russian edition: 1986.

    Google Scholar 

  139. Tom Verhoeff. Delay-insensitive codes — an overview. Distributed Computing, 3 (1): 1–8, 1988.

    Article  MATH  Google Scholar 

  140. T.E. Williams. Self-timed rings and their application to division. Technical Report CSL-TR-91–482, Computer Systems Laboratory, Stanford University, 1991. Ph.D. Thesis.

    Google Scholar 

  141. T.E. Williams and M.A. Horowitz. A zero-overhead self-timed 54b 160ns CMOS divider. IEEE Journal of Solid-State Circuits, 26 (11): 1651–1661, November 1991.

    Article  Google Scholar 

  142. A.V. Yakovlev. On limitations and extensions of STG model for designing asynchronous control circuits. In Proceedings of the IEEE International Conference on Computer Design, pages 396–400. IEEE Computer Society Press, October 1992.

    Google Scholar 

  143. O. Yenersoy. Synthesis of asynchronous machines using mixed-operation mode. IEEE Transactions on Computers, C-28(4): 325–329, April 1979.

    Google Scholar 

  144. M.L. Yu and P.A. Subrahmanyam. A path-oriented approach for reducing hazards in asynchronous designs. In Proceedings of the 29th IEEE/ACM Design Automation Conference, pages 239–244. IEEE Computer Society Press, June 1992.

    Google Scholar 

  145. K.Y. Yun and D.L. Dill. Automatic synthesis of 3D asynchronous finite-state machines. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. IEEE Computer Society Press, November 1992.

    Google Scholar 

  146. K.Y. Yun and D.L. Dill. Unifying synchronous/asynchronous state machine synthesis. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pages 255–260. IEEE Computer Society Press, November 1993.

    Google Scholar 

  147. K.Y. Yun, D.L. Dill, and S.M. Nowick. Practical generalizations of asynchronous state machines. In The 1993 European Conference on Design Automation, pages 525–530. IEEE Computer Society Press, February 1993.

    Chapter  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 1995 Springer-Verlag London

About this paper

Cite this paper

Davis, A., Nowick, S.M. (1995). Asynchronous Circuit Design: Motivation, Background, & Methods. In: Birtwistle, G., Davis, A. (eds) Asynchronous Digital Circuit Design. Workshops in Computing. Springer, London. https://doi.org/10.1007/978-1-4471-3575-3_1

Download citation

  • DOI: https://doi.org/10.1007/978-1-4471-3575-3_1

  • Publisher Name: Springer, London

  • Print ISBN: 978-3-540-19901-4

  • Online ISBN: 978-1-4471-3575-3

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics