Skip to main content

Ultra High Density Logic Designs Using Monolithic 3D Integration

  • Chapter
  • First Online:
Design for High Performance, Low Power, and Reliable 3D Integrated Circuits
  • 3427 Accesses

Abstract

Recent innovations in monolithic 3D technology enable much higher-density vertical connections than today’s through-silicon-via (TSV)-based technology. In this chapter, we study the benefits and challenges of monolithic 3D integration technology for ultra high-density logic designs. Based on our layout experiments, we compare important design metrics such as area, wirelength, timing, and power consumption of monolithic 3D designs with the traditional 2D designs. We also explore various interconnect options for monolithic 3D ICs that improve design density and quality. Depending on the interconnect settings of monolithic 3D ICs and the benchmark circuit characteristics, we observe that our two-tier monolithic 3D design provides up to 40 % reduced footprint, 27.8 % shorter wirelength, 39.7 % faster operation, and 9.2 % lower power consumption over the 2D counterpart.

The materials presented in this chapter are based on [7].

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. N. G. at ASU. Predictive Technology Model.

    Google Scholar 

  2. P. Batude, M. Vinet, A. Pouydebasque, C. Royer, B. Previtali, C. Tabone, L. Clavelier et al. GeOI and SOI 3D monolithic cell integrations for high density applications, in Proceedings of the Symposium on VLSI Technology, 2009, pp. 166–167

    Google Scholar 

  3. P. Batude, M. Vinet, A. Pouydebasque, C. L. Royer, B. Previtali, C. Tabone, J.-M. Hartmann, L. Sanchez, L. Baud, V. Carron, A. Toffoli, F. Allain, V. Mazzocchi, D. Lafond, O. Thomas, O. Cueto, N. Bouzaida, D. Fleury, A. Amara, S. Deleonibus, O. Faynot, Advances in 3D CMOS sequential integration, in Proceedings of the IEEE International Electron Devices Meeting, 2009, pp. 1–4

    Google Scholar 

  4. S. Bobba, A. Chakraborty, O. Thomas, P. Batude, T. Ernst, O. Faynot, D.Z. Pan, G.D. Micheli, CELONCEL: effective design technique for 3-D monolithic integration targeting high performance integrated circuits, in Proceedings of the Asia and South Pacific Design Automation Conference, 2011, pp. 336–343

    Google Scholar 

  5. N. Golshani, J. Derakhshandeh, R. Ishihara, C. Beenakker, M. Robertson, T. Morrison, Monolithic 3D integration of SRAM and image sensor using two layers of single grain silicon, in Proceedings of the IEEE International Conferences on 3D System Integration, 2010, pp. 1–4

    Google Scholar 

  6. S.-M. Jung, J. Jang, W. Cho, J. Moon, K. Kwak, B. Choi, B. Hwang, H. Lim, J. Jeong, J. Kim, K. Kim, The revolutionary and truly 3-dimensional 25F 2 SRAM technology with the smallest S 3 (Stacked Single-crystal Si) Cell, 0. 16um 2, and SSTFT (Stacked Single-crystal Thin Film Transistor) for ultra high density SRAM, in Proceeding of the Symposium on VLSI Technology, 2004, pp. 228–229

    Google Scholar 

  7. Y.-J. Lee, P. Morrow, S. K. Lim, Ultra high density logic designs using transistor-level monolithic 3D integration, in Proceedings of the IEEE Internatinal Conference on Computer-Aided Design, 2012

    Google Scholar 

  8. C. Liu, S. K. Lim, A design tradeoff study with monolithic 3D integration, in Proceedings of the International Symposium on Quality Electronic Design, 2012, pp. 531–538

    Google Scholar 

  9. T. Naito, T. Ishida, T. Onoduka1, M. Nishigoori, T. Nakayama, Y. Ueno, Y. Ishimoto, A. Suzuki, W. Chung, R. Madurawe, S. Wu, S. Ikeda, H. Oyamatsu, World’s first monolithic 3D-FPGA with TFT SRAM over 90nm 9 layer Cu CMOS, in Proceedings of the Symposium on VLSI Technology, 2010, pp. 219–220

    Google Scholar 

  10. Nangate, Nangate 45nm Open Cell Library

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Lim, S.K. (2013). Ultra High Density Logic Designs Using Monolithic 3D Integration. In: Design for High Performance, Low Power, and Reliable 3D Integrated Circuits. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-9542-1_18

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-9542-1_18

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-9541-4

  • Online ISBN: 978-1-4419-9542-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics