Skip to main content

Mechanical Reliability Analysis and Optimization for 3D ICs

  • Chapter
  • First Online:
Design for High Performance, Low Power, and Reliable 3D Integrated Circuits
  • 3350 Accesses

Abstract

This chapter studies an efficient and accurate full-chip thermo-mechanical stress and reliability analysis tool and design optimization methodology to alleviate mechanical reliability issues in 3D ICs [5]. First, we analyze the detailed thermo-mechanical stress induced by TSVs in conjunction with various associated structures such as a landing pad and a dielectric liner. Then, we explore and validate the linear superposition principle of stress tensors and demonstrate the accuracy of this method against detailed finite element analysis (FEA) simulations. Next, we apply this linear superposition method to full-chip stress simulation and a reliability metric named the von Mises yield criterion. Finally, we study a design optimization methodology to mitigate the mechanical reliability problems in 3D ICs. Our numerical experimental results demonstrate the effectiveness of the proposed methodology.

The materials presented in this chapter are based on [5].

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. G.V. der Plas et al., Design issues and considerations for low-cost 3D TSV IC technology, in IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, 2010

    Google Scholar 

  2. S. Franssila, Introduction to Microfabrication (Wiley, Chichester, 2004)

    Google Scholar 

  3. FreePDK45. http://www.eda.ncsu.edu/wiki/FreePDK.

  4. M.A. Hopcroft, W.D. Nix, T.W. Kenny, What is the Young’s modulus of silicon. J. Microelectromech. Syst. 19, 229–238 (2010)

    Article  Google Scholar 

  5. M. Jung, J. Mitra, D. Pan, S.K. Lim, TSV stress-aware full-chip mechanical reliability analysis and optimization for 3D IC, in Proceedings of ACM Design Automation Conference, San Diego, 2011

    Google Scholar 

  6. A.P. Karmarkar, X. Xu, V. Moroz, Performance and reliability analysis of 3D-integration structures employing through silicon via (TSV), in IEEE International Reliability Physics Symposium, Montreal, 2009

    Google Scholar 

  7. D.H. Kim, K. Athikulwongse, S.K. Lim, A study of through-silicon-via impact on the 3D stacked IC layout, in Proceedings of IEEE International Conference on Computer-Aided Design, San Jose, 2009

    Google Scholar 

  8. J. Lau, X. Zheng, C. Selvanayagam, Failure analyses of 3D Sip (System-in-Package) and WLP (Wafer-Level Package) by finite element methods, in IEEE International Symposium on Physical and Failure Analysis of Integrated Circuits, Suzhou, 2009

    Google Scholar 

  9. X. Liu, Q. Chen, P. Dixit, R. Chatterjee, R.R. Tummala, S.K. Sitaraman, Failure mechanisms and optimum design for electroplated copper through-silicon vias (TSV), in IEEE Electronic Components and Technology Conference, San Diego, 2009

    Google Scholar 

  10. K.H. Lu, X. Zhang, S.-K. Ryu, J. Im, R. Huang, P.S. Ho, Thermo-mechanical reliability of 3-D ICs containing through silicon vias, in IEEE Electronic Components and Technology Conference, San Diego, 2009

    Google Scholar 

  11. C. McDonough, B. Backes, W. Wang, R.E. Geer, Thermal and spatial profiling of TSV-induced stress in 3DICs, in IEEE International Reliability Physics Symposium, Monterey, 2011

    Google Scholar 

  12. A. Mercha et al., Comprehensive analysis of the impact of single and arrays of through silicon vias induced stress on high-k/metal gate CMOS performance, in Proceedings IEEE International Electron Devices Meeting, San Francisco, 2010

    Google Scholar 

  13. J.M.G. Ong, A.A.O. Tay, X. Zhang, V. Kripesh, Y.K. Lim, D. Yeo, K.C. Chen, J.B. Tan, L.C. Hsia, D.K. Sohn, Optimization of the thermomechanical reliability of a 65 nm Cu/low-k large-die flip chip package. IEEE Trans. Compon. Packag. Technol. 32, 838–848 (2009)

    Article  Google Scholar 

  14. S.-K. Ryu, K.-H. Lu, X. Zhang, J.-H. Im, P.S. Ho, R. Huang, Impact of near-surface thermal stresses on interfacial reliability of through-silicon-vias for 3-D interconnects. IEEE Trans. Device Mater. Reliab. 11, 35–43 (2011)

    Article  Google Scholar 

  15. J.H. Wu, J.A. del Alamo, Fabrication and characterization of through-substrate interconnects. IEEE Trans. Electron Devices 57, 1261–1268 (2010)

    Article  Google Scholar 

  16. Y. Xiang, X. Chen, J.J. Vlassak, The mechanical properties of electroplated Cu thin films measured by means of the bulge test technique. Proc. Mater. Res. Soc. Symp. 695, 189–196 (2002)

    Google Scholar 

  17. H. Yan, Y.Y. Tay, M.H. Liang, Z. Chen, C.M. Ng, J.S. Pan, H. Xu, C. Liu, V.V. Silberschmidt, Amorphous metallic thin films as copper diffusion barrier for advanced interconnect applications, in IEEE Electronics Packaging Technology Conference, Singapore, 2009

    Google Scholar 

  18. J.-S. Yang, K. Athikulwongse, Y.-J. Lee, S.K. Lim, D.Z. Pan, TSV stress aware timing analysis with applications to 3D-IC layout optimization, in Proceedings of ACM Design Automation Conference, Anaheim, 2010

    Google Scholar 

  19. J. Zhang, M.O. Bloomfield, J.-Q. Lu, R.J. Gutmann, T.S. Cale, Modeling thermal stresses in 3-D IC interwafer interconnects. IEEE Trans. Semicond. Manuf. 19, 437 (2006)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Lim, S.K. (2013). Mechanical Reliability Analysis and Optimization for 3D ICs. In: Design for High Performance, Low Power, and Reliable 3D Integrated Circuits. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-9542-1_13

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-9542-1_13

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-9541-4

  • Online ISBN: 978-1-4419-9542-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics