Skip to main content

Multi-objective Architectural Floorplanning for 3D IC

  • Chapter
  • First Online:
Design for High Performance, Low Power, and Reliable 3D Integrated Circuits
  • 3370 Accesses

Abstract

In this chapter, we study the multi-objective micro-architectural floorplanning algorithm for high performance processors implemented in IC. Our floorplanner takes a micro-architectural netlist and determines the dimension as well as the placement of the functional modules into single or multiple device layers while simultaneously achieving high performance and thermal reliability. The traditional design objectives such as area and wirelength are also considered. Our 3D floorplanning algorithm considers the following 3D-specific issues: vertical overlap optimization and bonding-aware layer partitioning. Our hybrid floorplanning approach combines Linear Programming and Simulated Annealing, which is shown to be very effective in obtaining high-quality solutions in short runtime under the multi-objective goals. We provide comprehensive experimental results on making tradeoffs among performance, thermal, area, and wirelength for 3D ICs.

The materials presented in this chapter are based on [25].

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    Our algorithm is general enough to take in many different configurations. For the sake of expediency, one configuration was chosen for experimentation.

  2. 2.

    The average number of iterations needed was found to be approximately seven for the largest number of layers. A smaller number of layers requires fewer iterations.

  3. 3.

    Since we add performance and thermal-related weights to the pure wirelength, we do not explicitly consider non-weighted pure wirelength objective. However, we report the wirelength metric in all of our experiments to show the impact of this multi-objective on wirelength.

  4. 4.

    Note that the area objective is used in all of these variations. The area objective has a positive impact on performance and wirelength objectives and a negative impact on thermal objective.

  5. 5.

    Note that it is possible to impose the vertical overlap constraints among the related groups of modules. The investigation of this direction is out of the scope of this chapter, which may require the extension of floorplanning encoding scheme such as Sequence Pair [38].

  6. 6.

    We use the lower left corner of each module in our case.

  7. 7.

    Our recent study [42] shows that Random Walk method can improve the runtime of thermal simulation significantly. Our future work includes the integration of this scheme in our micro-architectural floorplanning.

  8. 8.

    These floorplans also highlight the challenge in area optimization for multi-objective, multi-layer floorplanning problem. Our future work tries to address this problem more effectively. A possible solution is to utilize the whitespace for decoupling capacitors, thermal vias, buffers, etc.

References

  1. V. Agarwal, M.S. Hrishikesh, S.W. Keckler, D. Burger, Clock rate versus IPC: the end of the road for conventional microarchitectures, in Proceedings of the IEEE International Conference on Computer Architecture, Vancouver, 2000

    Google Scholar 

  2. T.M. Austin, Simplescalar tool suite. SimpleScalar LLC, http:/www.simplescalar.com

  3. K. Balakrishnan, V. Nanda, S. Easwar, S.K. Lim, Wire congestion and thermal aware 3D global placement, in Proceedings of the Asia and South Pacific Design Automation Conference, Shanghai, 2005

    Google Scholar 

  4. D. Brooks, M. Martonosi, Dynamic thermal management for high-performance microprocessors, in Proceedings of the Seventh International Symposium on High-Performance Computer Architecture, Monterrey (IEEE Computer Society, Los Alamitos, 2001), p 171

    Google Scholar 

  5. D. Brooks, V. Tiwari, M. Martonosi, Wattch: A framework for architectural level power analysis and optimizations, in Proceedings of the IEEE International Conference on Computer Architecture, Vancouver, 2000

    Google Scholar 

  6. M. Casu, L. Macchiarulo, Floorplanning for throughput, in Proceedings of the International Symposium on Physical Design, Phoenix, 2004

    Google Scholar 

  7. G. Chen, S. Sapatnekar, Partition-driven standard cell thermal placement, in Proceedings of the International Symposium on Physical Design, San Jose, Monterey, CA, 2003

    Google Scholar 

  8. L. Cheng, W. Hung, G. Yang, X. Song, Congestion estimation for 3-D circuit architectures. IEEE Trans. Circuit Syst. II Express Brief 51, 655–659 (2004)

    Article  Google Scholar 

  9. L. Cheng, L. Deng, M. Wong, Floorplan design for 3-D VLSI design, in Proceedings of the Asia and South Pacific Design Automation Conference, Shanghai, 2005

    Google Scholar 

  10. C.N. Chu, D.F. Wong, A matrix synthesis approach to thermal placement. IEEE Trans. Comput. Aided Design Integr. Circuit Syst. 17, 1166–1174 (1998)

    Article  Google Scholar 

  11. J. Cong, A. Jagannathan, G. Reinman, M. Romesis, Microarchitecture evaluation with physical planning, in Proceedings of the ACM Design Automation Conference, Anaheim, 2003

    Google Scholar 

  12. J. Cong, J. Wei, Y. Zhang, A thermal-driven floorplanning algorithm for 3D ICs, in Proceedings of the IEEE International Conference on Computer-Aided Design, San Jose, 2004

    Google Scholar 

  13. J. Cong, Y. Zhang, Thermal-driven multilevel routing for 3-D ICs, in Proceedings of the Asia and South Pacific Design Automation Conference, Shanghai, 2005

    Google Scholar 

  14. S. Das, A. Chandrakasan, R. Reif, Design tools for 3-D integrated circuits, in Proceedings of the Asia and South Pacific Design Automation Conference, San Jose, Kitakyushu, Japan, 2003

    Google Scholar 

  15. Y. Deng, W. Maly, Physical design of the 2.5D stacked system, in Proceedings of the IEEE International Conference on Computer Design, San Jose, 2003

    Google Scholar 

  16. S. Dropsho, V. Kursun, D. Albonesi, S. Dwarkadas, E. Friedman, Managing static leakage energy in microprocessor functional units, in Proceedings of the Annual International Symposium on Microarchitecture, München, Istanbul, Turkey, 2002

    Google Scholar 

  17. D. Duarte, Y. Tsai, N. Vijaykrishnan, M. Irwin, Evaluating run-time techniques for leakage power reduction, in Proceedings of the Asia and South Pacific Design Automation Conference, Bangalore, 2002

    Google Scholar 

  18. D. Duarte, N. Vijaykrishnan, M. J. Erwin, A clock power model to evaluate the impact of architectural and technology optimizations. IEEE Trans. VLSI Syst. 10(6), 844–855 (2002)

    Article  Google Scholar 

  19. J.C. Eble, V.K. De, D.S. Wills, J.D. Meindl, A generic system simulator (GENESYS) for ASIC technology and architecture beyond 2001, in International ASIC Conference, Rochester, NY, 1996

    Google Scholar 

  20. eCACTI, http://www.ics.uci.edu/~maheshmn/eCACTI/main.htm.

  21. M. Ekpanyapong, J. Minz, T. Watewai, H.-H. Lee, S.K. Lim, Profile-guided microarchitectural floorplanning for deep submicron processor design, in Proceedings of the ACM Design Automation Conference, Yokohama, San Diego, 2004

    Google Scholar 

  22. B. Goplen, S. Sapatnekar, Efficient thermal placement of standard cells in 3D ICs using a force directed approach, in Proceedings of the IEEE International Conference on Computer-Aided Design, San Jose, 2003

    Google Scholar 

  23. B. Goplen, S. Sapatnekar, Thermal via placement in 3-D ICs, in Proceedings of the International Symposium on Physical Design, San Francisco, 2005

    Google Scholar 

  24. L. He, W. Liao, M. Stan, System level leakage reduction considering leakage and thermal interdependency, in Proceedings of the ACM Design Automation Conference, Yokohama, San Diego, 2004

    Google Scholar 

  25. M. Healy, M. Vittes, M. Ekpanyapong, C. Ballapuram, S.K. Lim, H.-H.S. Lee, G.H. Loh, Multi-objective microarchitectural floorplanning for 2D and 3D ICs. IEEE Trans. Comput. Aided Design Integr. Circuit Syst. 26(1), 38–52 (2007)

    Article  Google Scholar 

  26. R. Ho, K.W. Mai, M.A. Horowitz, The future of wires, Proceedings of the IEEE, 89(4), 490–504 (2001)

    Article  Google Scholar 

  27. S.B. Horn, Vertically integrated sensor arrays VISA, in Defense and Security Symposium, Orlando, 2004

    Google Scholar 

  28. HotSpot, http://lava.cs.virginia.edu/HotSpot

  29. M. Huang, J. Renau, S.-M. Yoo, J. Torrellas, A framework for dynamic energy efficiency and temperature management, in Proceedings of the 33rd Annual ACM/IEEE International Symposium on Microarchitecture, Monterey, 2000, pp. 202–213

    Google Scholar 

  30. W. Hung, Y. Xie, N. Vijaykrishnan, C. Addo-Quaye, T. Theocharides, M. Irwin, Thermal-aware floorplanning using genetic algorithms, in Proceedings of the International Symposium on Quality Electronic Design, San Jose, 2005

    Google Scholar 

  31. S. Kaxiras, Z. Hu, M. Martonosi, Cache decay: exploiting generational behavior to reduce cache leakage power. in Proceedings of the 28th Annual International Symposium on Computer Architecture, pages 240–251, Göteborg, 2001

    Google Scholar 

  32. I. Kaya, M. Olbrich, E. Barke, 3-D Placement considering vertical interconnects, in Proceedings of the IEEE International SOC Conference, Portland, 2003

    Google Scholar 

  33. N. Kim, K. Flautner, D. Blaauw, T. Mudge, Drowsy instruction caches: Leakage power reduction using dynamic voltage scaling and cache sub-bank prediction. In Proceedings of the Annual International Symposium on Microarchitecture, Los Alamitos, Istanbul, Turkey, 2002

    Google Scholar 

  34. W. Liao, F. Li, L. He, Microarchitecture level power and thermal simulation considering temperature, in Proceedings of the International Symposium on Low Power Electronics and Design, Seoul, 2003

    Google Scholar 

  35. C. Long, L. Simonson, W. Liao, L. He, Floorplanning optimization with trajectory piecewise-linear model for pipelined interconnects, in Proceedings of the ACM Design Automation Conference, San Diego, 2004

    Google Scholar 

  36. J. Minz, S. K. Lim, C.K. Koh, 3D Module placement for congestion and power noise reduction, in Proceedings of the Great Lakes Symposium on VLSI, Chicago, 2005

    Google Scholar 

  37. J. Minz, E. Wong, S.K. Lim, Thermal and power integrity-aware floorplanning for 3D circuits, in Proceedings of the IEEE International SOC Conference, Williamsburg, Herndon, VA, 2005

    Google Scholar 

  38. H. Murata, K. Fujiyoshi, S. Nakatake, Y. Kajitani, Rectangle packing based module placement. in Proceedings of the IEEE International Conference on Computer-Aided Design, San Jose, 1995, pp. 472–479

    Google Scholar 

  39. V. Nookala, Y. Chen, D. Lilja, S. Sapatnekar, Microarchitecture-aware floorplanning using a statistical design of experiments approach, in Proceedings of the ACM Design Automation Conference, Anaheim, 2005

    Google Scholar 

  40. B. Obermeier, F. Johannes, Temperature-aware global placement, in Proceedings of the Asia and South Pacific Design Automation Conference, Yokohama, 2004

    Google Scholar 

  41. V. Pavlidis, E. Friedman, Interconnect delay minimization through interlayer via placement in 3-D ICs, in Proceedings of the Great Lakes Symposium on VLSI, Chicago, 2005

    Google Scholar 

  42. S. Salewski, E. Barke, An upper bound for 3D slicing floorplans, in Proceedings of the Asia and South Pacific Design Automation Conference, Bangalore, 2002

    Google Scholar 

  43. P. Shivakumar, N.P. Jouppi, CACTI 3.0: an integrated cache timing, power, and area model. Technical Report 2001.2, HP Western Research Labs, Palo Alto, 2001

    Google Scholar 

  44. K. Skadron, M. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, D. Tarjan, Temperature-aware microarchitecture, in Proceedings of the IEEE International Conference on Computer Architecture, San Diego, 2003, pp. 2–13

    Google Scholar 

  45. G. Sohi, S. Vajapeyam, Instruction issue logic for high performance interruptable pipelined processors. Proceedings of the 14th Annual International Symposium on Computer Architecture, Pittsburgh, 1987

    Google Scholar 

  46. T. Tanprasert, An analytical 3-D placement that reserves routing space, in Proceedings of the IEEE International Symposium on Circuits and Systems, Geneva, 2000

    Google Scholar 

  47. C. Tsai, S. Kang, Cell-level placement for improving substrate thermal distribution. IEEE Trans. Comput. Aided Design Integr. Circuit Syst. 19, 253–266 (2000)

    Article  Google Scholar 

  48. Y. Tsai, A. Ankadi, N. Vijaykrishnan, M. Irwin, T. Theocharides, ChipPower: an architecture-level leakage simulator, in Proceedings of the IEEE International SOC Conference, Santa Clara, 2004

    Google Scholar 

  49. M. Umemoto, K. Tanida, Y. Nemoto, M. Hoshino, K. Kojima, Y. Shirai, K. Takahashi, High-performance vertical interconnection for high-density 3D chip stacking package, in IEEE Electronic Components and Technology Conference, Las Vegas, 2004

    Google Scholar 

  50. R. Zhang, K. Roy, C.-K. Koh, D.B. Janes, Exploring SOI device structures and interconnect architectures for 3-dimensional integration, in Proceedings of the ACM Design Automation Conference, Las Vegas, 2001

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Lim, S.K. (2013). Multi-objective Architectural Floorplanning for 3D IC. In: Design for High Performance, Low Power, and Reliable 3D Integrated Circuits. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-9542-1_10

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-9542-1_10

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-9541-4

  • Online ISBN: 978-1-4419-9542-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics