Skip to main content

FPCNA: A Carbon Nanotube-Based Programmable Architecture

  • Chapter
  • First Online:

Abstract

In the hunt to find a replacement for CMOS, material scientists are developing a wide range of nanomaterials and nanomaterial-based devices that offer significant performance improvements. One example is the carbon nanotube field-effect transistor (CNFET), which replaces the traditional silicon channel with an array of semiconducting carbon nanotubes (CNTs). Due to the increased variation and defects in nanometer-scale fabrication and the regular nature of bottom-up self-assembly, field-programmable devices are a promising initial application for such technologies. In this chapter, we detail the design and evaluation of a nanomaterial-based architecture called FPCNA (field-programmable carbon nanotube array). Nanomaterial-based devices and circuit building blocks are developed and characterized, including a lookup table created entirely from continuous CNT ribbons. To determine the performance of these building blocks, variation-aware physical design tools are used, with statistical static timing analysis (SSTA) that can handle both Gaussian and non-Gaussian random variables. When the FPCNA architecture is evaluated using this computer-aided design (CAD) flow, a 2.75× performance improvement is seen over an equivalent CMOS FPGA at a 95% yield. In addition, FPCNA offers a 5.07× footprint reduction compared with a baseline FPGA.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. V. Betz, J. Rose, and A. Marquardt, Architecture and CAD for Deep-submicron FPGAs, Kluwer Academic Publishers, Feb. 1999.

    Google Scholar 

  2. S. C. Goldstein and M. Budiu, “NanoFabric: Spatial Computing using molecular electronics,” in Proc. Int. Symp. on Computer Architecture, 2001.

    Google Scholar 

  3. A. DeHon, “Nanowire-based programmable architectures,” ACM Journal of Emerging Technologies in Computing Systems, vol. 1, no. 2, pp. 109–162, 2005.

    Article  MathSciNet  Google Scholar 

  4. G. Snider, P. Kuekes, and R. S. Williams, “CMOS-like logic in defective nanoscale crossbars,” Nanotechnology, vol. 15, 2004.

    Google Scholar 

  5. A. Gayasen, N. Vijaykrishana, and M. J. Irwin, “Exploring technology alternatives for nano-scale FPGA interconnects,” in Proc. Design Automation Conference, 2005.

    Google Scholar 

  6. R. M. P. Rad and M. Tehranipoor, “A new hybrid FPGA with nanoscale clusters and CMOS routing,” in Proc. Design Automation Conference, 2006.

    Google Scholar 

  7. D. B. Strukov and K. K. Likharev, “CMOL FPGA: A reconfigurable architecture for hybrid digital circuits with two-terminal nanodevices,” Nanotechnology, vol. 16, no. 888–900, 2005.

    Article  Google Scholar 

  8. G. Snider and S. Williams, “Nano/CMOS architecture using a field-programmable nanowire interconnect,” Nanotechnology, vol. 18, 2007.

    Google Scholar 

  9. C. Dong, D. Chen, S. Haruehanroengra, and W. Wang, “3-D nFPGA: A reconfigurable architecture for 3-D CMOS/nanomaterial hybrid digital circuits,” IEEE Transactions on Circuits and Systems I, vol. 54, no. 11, pp. 2489–2501, Nov. 2007.

    Article  Google Scholar 

  10. T. Rueckes, K. Kim, E. Joselevich, G. Y. Tseng, C. Cheung, and C. M. Lieber, “Carbon nanotube-based nonvolatile random access memory for molecular computing,” Science, vol. 289. no. 5476, pp. 94–97, July 2000.

    Article  Google Scholar 

  11. J. W. Ward, M. Meinhold, B. M. Segal, J. Berg, R. Sen, R. Sivarajan, D. K. Brock, and T. Rueckes, “A nonvolatile nanoelectromechanical memory element utilizing a fabric of carbon nanotubes,” in Proc. Non-Volatile Memory Technology Symposium, pp. 34–38, Nov. 2004.

    Google Scholar 

  12. R. F. Smith. T. Rueckes, S. Konsek, J. W. Ward, D. K. Brock, and B. M. Segal, “Carbon nanotube based memory development and testing,” in Proc. Aerospace Conference, pp. 1–5, Mar. 2007.

    Google Scholar 

  13. W. Zhang, N. K. Jha, and L. Shang, “NATURE: A hybrid nanotube/CMOS dynamically reconfigurable architecture,” in Proc. Design Automation Conference, 2006.

    Google Scholar 

  14. Y. Zhou, S. Thekkel, and S. Bhunia, “Low power FPGA design using hybrid CMOS-NEMS approach,” in Proc. International Symposium on Low Power Electronics and Design, Aug. 2007.

    Google Scholar 

  15. S. Iijima, “Helical microtubules of graphitic carbon,” Nature, vol. 354, no. 6348, pp. 56–58, 7 Nov. 1991.

    Article  Google Scholar 

  16. S. Iijima, “Carbon nanotubes: Past, present, and future,” Physica B: Condensed Matter, vol. 323, no. 1–4, pp. 1–5, Oct. 2002.

    Article  Google Scholar 

  17. H. Nejo, Nanostructures – Fabrication and Analysis, Springer, 2007.

    Google Scholar 

  18. C. Dupas, P. Houdy, and M. Lahmani, Nanoscience, Springer Berlin Heidelberg, 2007.

    Google Scholar 

  19. S. J. Kang et al., “High-performance electronics using dense, perfectly aligned arrays of single-walled carbon nanotubes,” Nature Nanotechnology, vol. 2, no. 4, pp. 230–236, 2007.

    Article  Google Scholar 

  20. N. Patil, A. Lin, E. Myers, H. S. -P. Wong, and S. Mitra, “Integrated wafer-scale growth and transfer of directional carbon nanotubes and misaligned-carbon-nanotube-immune logic structures,” in Proc. Symp. VLSI Technology, 2008.

    Google Scholar 

  21. W. Zhou, C. Rutherglen, and P. Burke, “Wafer scale synthesis of dense aligned arrays of single-walled carbon nanotubes.” Nano Research, vol. 1, pp. 158–165, Aug. 2008.

    Article  Google Scholar 

  22. S. J. Tans, A. R. M. Verschueren, and C. Dekker, “Room-temperature transistor based on a single carbon nanotube.” Nature, vol. 393, no. 6680, pp. 49–52, 7 May 1998.

    Article  Google Scholar 

  23. R. Martel, T. Schmidt, H. R. Shea, T. Hertel, and Ph. Avouris, “Single- and multi-wall carbon nanotube field-effect transistors,” Applied Physics Letters, vol. 73, no. 17, p. 2447, 26 Oct. 1998.

    Article  Google Scholar 

  24. A. Bachtold, P. Hadley, T. Nakanishi, and C. Dekker, “Logic circuits with carbon nanotube transistors,” Science, vol. 294, no. 5545, pp. 1317–1320, 9 Nov. 2001.

    Article  Google Scholar 

  25. V. Derycke, R. Martel, J. Appenzeller, and Ph. Avouris, “Carbon nanotube inter- and intramolecular logic gates,” Nano Letters, vol. 1, no. 9, pp. 453–456, 2001.

    Article  Google Scholar 

  26. S. J. Wind, J. Appenzeller, R. Martel, V. Derycke, and Ph. Avouris, “Vertical scaling of carbon nanotube field-effect transistors using top gate electrodes,” Applied Physics Letters, vol. 80, no. 20, pp. 3817–3819, May 2002.

    Article  Google Scholar 

  27. A. Javey, H. Kim, M. Brink, Q. Wang, A. Ural, J. Guo, P. Mcintyre, P. Mceuen, M. Lundstrom, and H. Dai, “High-k dielectrics for advanced carbon nanotube transistors and logic gates,” Nature Materials, vol. 1, no. 4, pp. 241–246, Dec. 2002.

    Article  Google Scholar 

  28. S. J. Kang, C. Kocabas, T. Ozel, M. Shim, N. Pimparkar, M. A. Alam, S. V. Rotkin, and J. A. Rogers, “High-performance electronics using dense, perfectly aligned arrays of single-walled carbon nanotubes,” Nature Nanotechnology, vol. 2, no. 4, pp. 230–236, Apr. 2007.

    Article  Google Scholar 

  29. N. Patil, A. Lin, J. Zhang, H. Wei, K. Anderson, H. S. P. Wong, and S. Mitra, “VMR: VLSI-compatible metallic carbon nanotube removal for imperfection-immune cascaded multi-stage digital logic circuits using carbon nanotube FETs,” in Proc. IEEE Intl. Electron Devices Meeting pp. 573–576, 2009.

    Google Scholar 

  30. Z. Chen, J. Appenzeller, Y. Lin, J. Sippel-Oakley, A. Rinzler, J. Tang, S. Wind, P. Solomon, and P. Avouris, “An integrated logic circuit assembled on a single carbon nanotube,” Science, vol. 311, no. 5768, p. 1735, Mar. 2006.

    Article  Google Scholar 

  31. A. Javey, Q. Wang, A. Ural, Y. Li, and H. Dai, “Carbon nanotube transistor arrays for multistage complementary logic and ring oscillators,” Nano Letters, vol. 2, no. 9, pp. 929–932, Sept. 2002.

    Article  Google Scholar 

  32. Y. Massoud and A. Nieuwoudt, “Modeling and design challenges and solutions for carbon nanotube-based interconnect in future high performance integrated circuits,” ACM Journal on Emerging Technologies in Computing Systems, vol. 2, pp. 155–196, 2006.

    Article  Google Scholar 

  33. B. Q. Wei, R. Vajtai, and P. M. Ajayan, “Reliability and current carrying capacity of carbon nanotubes,” Applied Physics Letter, vol. 79, no. 8, pp. 1172–1174, 2001.

    Article  Google Scholar 

  34. N. Srivastava and K. Banerjee, “Performance analysis of carbon nanotube interconnects for VLSI applications,” in Proc. International Conference on Computer-Aided Design, pp. 383–390, 2005.

    Google Scholar 

  35. S. Kaeriyama et al., “A nonvolatile programmable solid-electrolyte nanometer switch,” IEEE Journal of Solid-State Circuits, vol. 40, no. 1, pp. 168–176, Jan. 2005.

    Article  Google Scholar 

  36. E. Ahmed and J. Rose, “The effect of LUT and cluster size on deep-submicron FPGA performance and density,” IEEE Transactions on VLSI, vol. 12, no. 3, pp. 288–298, Mar. 2004.

    Article  Google Scholar 

  37. S. J. Kang, C. Kocabas, H. S. Kim, Q. Cao, M. A. Meitl, D. Y. Khang, and J. A. Rogers, “Printed multilayer superstructures of aligned single-walled carbon nanotubes for electronic applications,” Nano Letters, vol. 7, no. 11, pp. 3343–3348, Nov. 2007.

    Article  Google Scholar 

  38. E. Pop, “The role of electrical and thermal contact resistance for Joule breakdown of single-wall carbon nanotube,” Nanotechnology, vol. 19, 2008.

    Google Scholar 

  39. Y. Li et al., “Preferential growth of semiconducting single-walled carbon nanotubes by a plasma enhanced CVD method,” Nano Letters, vol. 4, p. 317, 2004.

    Article  Google Scholar 

  40. J. Deng et al., “Carbon nanotube transistor circuits: Circuit-level performance benchmarking and design Options for living with imperfections,” in Proc. International Solid-State Circuits Conference, 2007.

    Google Scholar 

  41. D. Boning and S. Nassif, “Models of process variations in device and interconnect,” Design of High-Performance Microprocessor Circuits, Wiley-IEEE Press, ISBN: 978–0–7803–6001–3, 2000.

    Google Scholar 

  42. International Technology Roadmap for Semiconductors, http://www.itrs.net/.

  43. E. M. Sentovich et al. “SIS: A system for sequential circuit synthesis,” Dept. of Electrical Engineering and Computer Science, University of California, Berkeley, CA 94720, 1992.

    Google Scholar 

  44. D. Chen and J. Cong, “DAOmap: A depth-optimal area optimization mapping algorithm for FPGA designs,” in Proc. International Conference on Computer-Aided Design, Nov. 2004.

    Google Scholar 

  45. Y. Lin, M. Hutton, and L. He, “Placement and timing for FPGAs considering variations,” in Proc. Field Programmable Logic and Applications, pp. 1–7, Aug. 2006.

    Google Scholar 

  46. S. Sivaswamy and K. Bazargan, “Variation-aware routing for FPGAs,” in Proc. Int. Symp. on Field Programmable Gate Arrays, 2007.

    Google Scholar 

  47. C. Visweswariah et al., “First-order incremental block-based statistical timing analysis,” in Proc. Design Automation Conference, pp. 331–336, 2004.

    Google Scholar 

  48. A. Devgan and C. Kashyap, “Block-based static timing analysis with uncertainty,” in Proc. International Conference on Computer-Aided Design, pp. 607–614, 2003.

    Google Scholar 

  49. J. Liou, K. Cheng, S. Kundu, and A. Krstic, “Fast statistical timing analysis by probabilistic event propagation,” in Proc. Design Automation Conference, pp. 661–666, 2001.

    Google Scholar 

Download references

Acknowledgments

This work was partially supported by NSF Career Award CCF 07–46608, NSF grant CCF 07–02501, and a gift grant from Altera Corporation. We also appreciate the helpful discussions with Prof. John Rogers of the University of Illinois at Urbana Champaign and Prof. Subhasish Mitra of Stanford University.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Deming Chen .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Dong, C., Chilstedt, S., Chen, D. (2011). FPCNA: A Carbon Nanotube-Based Programmable Architecture. In: Jha, N., Chen, D. (eds) Nanoelectronic Circuit Design. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-7609-3_9

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-7609-3_9

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-7444-0

  • Online ISBN: 978-1-4419-7609-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics