Skip to main content

Sequential Logic Synthesis Using Symbolic Bi-decomposition

  • Chapter
  • First Online:
Advanced Techniques in Logic Synthesis, Optimizations and Applications

Abstract

In this chapter we use under-approximation of unreachable states of a design to derive incomplete specification of combinational logic. The resulting incompletely specified functions are decomposed to enhance the quality of technology-dependent synthesis. The decomposition choices are computed implicitly using novel formulation of symbolic bi-decomposition that is applied recursively to decompose logic in terms of simple primitives. The ability of binary decision diagrams to represent compactly certain exponentially large combinatorial sets helps us to implicitly enumerate and explore variety of decomposition choices improving quality of synthesized circuits. Benefits of the symbolic technique are demonstrated in sequential synthesis of publicly available benchmarks as well as on the realistic industrial designs.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 109.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 149.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 179.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Ashenhurst, R.L.: The decomposition of switching functions. Annals of Computation Laboratory, Harvard University 29, 74–116 (1959)

    MathSciNet  Google Scholar 

  2. Baumgartner, J., Mony, H., Paruthi, V., Kanzelman, R., Janssen, G.: Scalable sequential equivalence checking across arbitrary design transformations. In: Proceedings of ICCD, San Jose, CA, pp. 259–266. (2006)

    Google Scholar 

  3. Brown, F.M.: Boolean Reasoning. Kluwer, Boston, MA (1990)

    Google Scholar 

  4. Bryant, R.E.: Graph-based algorithms for Boolean function manipulation. IEEE Transactions on Computers C-35(6), 677–691 (1986)

    Article  MATH  Google Scholar 

  5. Case, M.L., Kravets, V.N., Mishchenko, A., Brayton, R.K.: Merging nodes under sequential observability. In: Proceedings of DAC, Anaheim, CA, pp. 540–545. (2008)

    Google Scholar 

  6. Case, M.L., Mishchenko, A., Brayton, R.K.: Inductive finding a reachable state-space over-approximation. In: IWLS, Vail, CO, pp. 172–179. (2006)

    Google Scholar 

  7. Case, M.L., Mishchenko, A., Brayton, R.K.: Cut-based inductive invariant computation. In: IWLS, Lake Tahoe, CA, pp. 172–179. (2008)

    Google Scholar 

  8. Cheng, K.T., Entrena, L.A.: Sequential logic optimization by redundancy addition and removal. In: Proceedings of ICCAD, San Jose, CA, pp. 310–315. (1993)

    Google Scholar 

  9. Cho, J., Hachtel, G., Macii, E., Poncino, M., Somenzi, F.: Automatic state decomposition for approximate FSM traversal based on circuit analysis. IEEE Transactions on CAD 15(12), 1451–1464 (1996)

    Google Scholar 

  10. Cortadella, J.: Timing-driven logic bi-decomposition. IEEE Transactions on CAD 22(6),675–685 (2003)

    Google Scholar 

  11. Een, N., Sorensson, N.: An extensible SAT-solver. In: Proceedings of SAT, Santa Margherita Ligure, Italy, pp. 502–518. (2003)

    Google Scholar 

  12. van Eijk, C.: Sequential equivalence checking based on structural similarities. IEEE Transactions on CAD 19(7), 814–819 (2000)

    Google Scholar 

  13. Kravets, V.N. et al.: Automated synthesis of limited-switch dynamic logic (LSDL) circuits. Prior Art Database (ip.com) (March 2008)

    Google Scholar 

  14. Lee, R.-R., Jiang, J.-H., Hung, W.-L.: Bi-decomposing large Boolean functions via interpolation and satisfiability solving. In: Proceedings of DAC, Anaheim, CA, pp. 636–641. (2008)

    Google Scholar 

  15. Lin, B., Touati, H., Newton, R.: Don’t care minimization of multi-level sequential networks. In: Proceedings of ICCAD, San Jose, CA, pp. 414–417. (1990)

    Google Scholar 

  16. Mishchenko, A., Case, M.L., Brayton, R.K., Jang, S.: Scalable and scalable-verifiable sequential synthesis. In: Proceedings of ICCAD, San Jose, CA, pp. 234–241. (2008)

    Google Scholar 

  17. Mishchenko, A., Steinbach, B., Perkowski, M.: An algorithm for bi-decomposition of logic functions. In: Proceedings of DAC, Las Vegas, NV, pp. 103–108. (2001)

    Google Scholar 

  18. Roth, J.P., Karp, R.: Minimization over Boolean graphs. IBM Journal of Research and Development 6(2), 227–238 (1962)

    Article  MathSciNet  Google Scholar 

  19. Sasao, T., Butler, J.: On bi-decomposition of logic functions. In: IWLS, Tahoe City, CA, (1997)

    Google Scholar 

  20. Savoj, H., Brayton, R.K.: The use of observability and external don’t cares for the simplification of multi-level networks. In: Proceedings of DAC, Orlando, FL, pp. 297–301. (1990)

    Google Scholar 

  21. Stanion, T., Sechen, C.: Quasi-algebraic decomposition of switching functions. In: Proceedings of the 16th Conference on Advance Research in VLSI, Ann Arbor, MI, pp. 358–367. (1998)

    Google Scholar 

  22. Steinbach, B., Wereszczynski, A.: Synthesis of multi-level circuits using EXOR-gates. In: Proceedings of IFIP WG 10.5 – Workshop on Application of the Reed-Muller Expansion in Circuit Design, Chiba City, Japan, pp. 161–168. (1995)

    Google Scholar 

  23. Yang, C., Cieselski, M., Singhal, V.: BDS: A BDD-based logic optimization system. In: Proceedings of DAC, Los Angeles, CA, pp. 92–97. (2000)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Victor N. Kravets .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Kravets, V.N., Mishchenko, A. (2011). Sequential Logic Synthesis Using Symbolic Bi-decomposition. In: Gulati, K. (eds) Advanced Techniques in Logic Synthesis, Optimizations and Applications. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-7518-8_3

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-7518-8_3

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-7517-1

  • Online ISBN: 978-1-4419-7518-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics