Skip to main content

Low-Power and Variation-Tolerant Memory Design

  • Chapter
  • First Online:
Low-Power Variation-Tolerant Design in Nanometer Silicon
  • 1234 Accesses

Abstract

This chapter discusses the impact of process variations on reliability of Static Random Access Memory (SRAM). An overview of SRAM and process variations in the context of SRAM is provided. The mechanisms of SRAM parametric failures are discussed. Models and methods of estimating parametric yield of SRAM array and failure probabilities of SRAM cell under process variations are presented. Design approaches for enhancing the yield of SRAM under process variations are discussed. The design approaches discussed include statistical joint optimization of SRAM cell sizing and redundancy, dynamic circuit techniques, post silicon adaptive repair techniques, and variation-tolerant SRAM peripherals. A self-repairing SRAM is discussed which adaptively adjusts its body bias to improve its reliability. Finally, a discussion on adaptive low-power and variation-tolerant SRAM design for multi-media applications is provided.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 139.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Agarwal A, Hai Li, Roy K (Feb 2003) A single-Vt low-leakage gated-ground cache for deep submicron. IEEE J Solid-State Circuits 38(2):319–328

    Article  Google Scholar 

  2. Bhavnagarwala A, Tang X, Meindl JD (Apr 2001) The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE J Solid-State Circuits 36:658–665

    Article  Google Scholar 

  3. Bhavnagarwala A, Kosonocky SV, Kowalczyk SP, Joshi RV, Chan YH, Srinivasan U, Wadhwa JK (Jun 2004) A transregional CMOS SRAM with single, logic VDD and dynamic power rails. In: Symposium on VLSI Circuits, Honolulu, HI, pp 292–293

    Google Scholar 

  4. Borkar S, Karnik T, Narendra S, Tschanz J, Keshavarzi A, De V (2003) Parameter variation and impact on circuits and microarchitecture. Design Automation Conference, Anaheim, CA, pp 338–342

    Google Scholar 

  5. Burnett D, Erington K, Subramanian C, Baker K (Jun 1994) Implications of fundamental threshold voltage variations for high-density SRAM and logic circuits. In: Symposium on VLSI Technology, Honolulu, HI, pp 15–16

    Google Scholar 

  6. Chandrakasan A, Bowhill WJ, Fox F (2001) Design of high-performance microprocessor circuits. IEEE, Piscataway, NJ

    Google Scholar 

  7. Chappell B, Young I (Apr 2003) VDD modulated SRAM for highly scaled, high performance cache. US Patent 6556471

    Google Scholar 

  8. Cheemalavagu S, Korkmaz P, Palem KV (Sept 2004) Ultra low-energy computing via probabilistic algorithms and devices: CMOS device primitives and the energy-probability relationship. In; International conference on solid state devices and materials, Tokyo, pp 402–403

    Google Scholar 

  9. Chen G, Kandemir M (2005) Optimizing address code generation for array-intensive DSP applications. In: International symposium on code generation and optimization, San Jose, CA, pp 141–152

    Google Scholar 

  10. Chen Q, Mahmoodi H, Bhunia S, Roy K (Nov 2005) Efficient testing of SRAM with optimized march sequences and a novel DFT technique for emerging failures due to process variations. IEEE Trans VLSI Syst 13(11):1286–1295

    Article  Google Scholar 

  11. Cho M, Schlessman J, Wolf W, Mukhopadhyay S (2009) Accuracy-aware SRAM: a reconfigurable low power SRAM architecture for mobile multimedia applications. In: Asia and South Pacific design automation conference, Yokohama, pp 823–828

    Google Scholar 

  12. Cochran WG (1977) Sampling techniques, 3rd edn. Wiley, New York, NY

    MATH  Google Scholar 

  13. Djahromi AK, Eltawil AM, Kurdahi FJ, Kanj R (Mar 2007) Cross layer error exploitation for aggressive voltage scaling. IEEE international symposium on quality electronic design, San Jose, CA, pp 192–197

    Google Scholar 

  14. Flautner K, Nam SK, Martin S, Blaauw D, Mudge T (2002) Drowsy caches: simple techniques for reducing leakage power. In: International symposium on computer architecture, Anchorage, AK, pp 148–157

    Google Scholar 

  15. George J, Marr B, Akgul BES, Palem KV (Oct 2006) Probabilistic arithmetic and energy efficient embedded signal processing. In: International conference on compilers, architecture, and synthesis for embedded systems, Seoul

    Google Scholar 

  16. Hesterberg TC (1988) Advances in importance sampling, Ph.D. Dissertation, Statistics Department, Stanford University

    Google Scholar 

  17. Horne S, Klein RK, Selcuk AA, Kepler NJ, Spence CA, Lee RT, Holst JC (Aug 1998) Memory device using a reduced word line voltage during read operations and a method of accessing such a memory device. US Patent 5796651

    Google Scholar 

  18. Jess JAG, Kalafala K, Naidu SR, Otten RHJ, Visweswariah C (2003) Statistical timing for parametric yield prediction of digital integrated circuits. In: Design automation conference, Anaheim, CA, pp 932–937

    Google Scholar 

  19. Kanj R, Joshi R, Nassif S (2006) Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events. In: Design automation conference, San Francisco, CA, pp 69–72

    Google Scholar 

  20. Kawahara T, Sakata T, Itoh K, Kawajiri Y, Akiba T, Kitsukawa G, Aoki M (Jul 1993) A high-speed, small-area, threshold-voltage mismatch compensation sense amplifier for gigabit-scale DRAM arrays. IEEE J Solid State Circuits 28:816–823

    Article  Google Scholar 

  21. Kurdahi F, Eltawil A, Djahromi AK, Makhzan M, Cheng S (Aug 2007) Error aware design.In: Euromicro conference on digital system design architectures, Lübeck, Germany, pp 8–15

    Google Scholar 

  22. Mojumder NN, Mukhopadhyay S, Kim JJ, Chuang CT, Roy K (Jan 2010) Self-Repairing SRAM using on-chip detection and compensation. IEEE Trans VLSI Syst 18(1):75–84

    Article  Google Scholar 

  23. Mukhopadhyay S, Mahmoodi H, Roy K (Nov 2004) Statistical design and optimization of sram cell for yield enhancement. IEEE/ACM international conference on computer aided design, San Jose, CA, pp 10–13

    Google Scholar 

  24. Mukhopadhyay S, Mahmoodi H, Roy K (Dec 2005) Modeling of failure probability and statistical design of SRAM array for yield enhancement in nano-scaled CMOS. IEEE Trans Compu Aided Des Integ Circuits Sys 24(12):1859–1880

    Article  Google Scholar 

  25. Mukhopadhyay S, Kim K, Mahmoodi H, Datta A, Park D, Roy K (Jun 2006) Self-repairing SRAM for reducing parametric failures in nanoscaled memory. In: Symposium on VLSI circuits, Honolulu, HI, pp 132–133

    Google Scholar 

  26. Mukhopadhyay S, Kim K, Mahmoodi H, Roy K (Jun 2007) Design of a process variation tolerant self-repairing SRAM for yield enhancement in nanoscaled CMOS. IEEE J Solid-State Circuits 42(6):1370–1382

    Article  Google Scholar 

  27. Mukhopadhyay S, Mahmoodi H, Roy K (Jan 2008) Reduction of parametric failures in sub-100-nm SRAM array using body bias. IEEE Trans Comput Aided Des Integr Circ Sys 27(1):174–183

    Article  Google Scholar 

  28. Mukhopadhyay S, Rao RM, Kim JJ, Chuang CT (2009) SRAM write-ability improvement with transient negative bit-line voltage. In: IEEE transactions very large scale integration (VLSI) systems

    Google Scholar 

  29. Mukhopadhyay S, Raychowdhury A, Mahmoodi H, Roy K (Dec 2005) Leakage current based stabilization scheme for robust sense-amplifier design for yield enhancement in nano-scale SRAM. In: IEEE Asian test symposium, Calcutta, pp 176–181

    Google Scholar 

  30. Nassif SR (2001) Modeling and analysis of manufacturing variations. In: Custom integrated circuit conference, San Diego, CA, pp 223–228

    Google Scholar 

  31. Ohbayashi S et el. (Apr 2007) A 65 nm SoC embedded 6T-SRAM design for manufacturing with read and write cell stabilizing circuits. IEEE J Solid State Circuits 42(4):820–829

    Article  Google Scholar 

  32. Papoulis A (2002) Probability, random variables and stochastic process. MacGraw-Hill, New York, NY

    Google Scholar 

  33. Parke SA (1997) Optimization of DRAM sense amplifiers for the gigabit era. In: Midwest symposium on circuits and systems, Sacramento, CA, pp 209–212

    Google Scholar 

  34. Qin H, Cao Y, Markovic D, Vladimirescu A, Rabaey J (Mar 2004) SRAM leakage suppression by minimizing standby supply voltage. International symposium on quality electronic design, San Jose, CA, pp 55–60

    Google Scholar 

  35. Rabaey JM, Chandrakasan A, Nikolic B (2003), Digital integrated circuits. Prentice-Hall, ch. 12, Upper Saddle River, NJ, pp 623–718

    Google Scholar 

  36. Rao R, Devgan A, Blaauw D, Sylvester D (Jun 2004) Parametric yield estimation considering leakage variability. In: Design automation conference, San Diego, CA, pp 442–447

    Google Scholar 

  37. Roy K, Mukhopadhyay S, Mahmoodi H (Feb 2003) Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits. Proc IEEE 91(2):305–327

    Article  Google Scholar 

  38. Sarpeshkar R, Wyatt JL, Lu NC, Gerber PD (Oct 1991) Mismatch sensitivity of a simultaneously latched CMOS sense amplifier. IEEE J Solid State Circuits 26:1413–1422

    Article  Google Scholar 

  39. Schueller G, Pradlewarter H, Koutsourelakis PS (2003) A comparative study of reliability estimation procedures for high dimensions. In: ASCE engineering mechanics conference, Seattle, WA

    Google Scholar 

  40. Sub JW, Rho KM, Park CK, Koh YH (Jul 1996) Offset-trimming bit-line sensing scheme for gigabitscale DRAM’s. IEEE J Solid State Circuits 31(7):1025–1028

    Article  Google Scholar 

  41. Tang X, De V, Meindl JD (Dec 1997) Intrinsic MOSFET parameter fluctuations due to random dopant placement. IEEE Trans VLSI Syst 5:369–376

    Article  Google Scholar 

  42. Taur Y, Ning TH (1998) Fundamentals of modern VLSI devices. Cambridge University Press, New York, NY

    Google Scholar 

  43. Visweswariah C (2003) Death, taxes and failing chips. In: Design automation conference, Anaheim, CA, pp 343–347

    Google Scholar 

  44. Wah BW, Chen Y-X (Nov 2000) Constrained genetic algorithms and their applications in nonlinear constrained optimization. In: IEEE international conference on tools with artificial intelligence, Vancouver, BC, pp 286–293

    Google Scholar 

  45. Wang Z, Bovik AC, Sheikh HR, Simoncelli EP (Apr 2004) Image quality assessment: from error visibility to structural similarity. IEEE Trans Image Process 13(4):600–612

    Article  Google Scholar 

  46. Wicht B, Nirschl T, Schmitt D-Landsiedel (Jul 2004) Yield and speed optimization of a latch type voltage sense amplifier. IEEE J Solid State Circuits 39:1148–1158

    Article  Google Scholar 

  47. Yamaoka M, Maeda N, Shimazaki Y, Osada1 K (Feb 2008) A 65 nm low-power high-density SRAM operable at 1.0 V under 3σ systematic variation using separate Vth monitoring and body bias for NMOS and PMOS. In: IEEE international solid state circuit conference, San Francisco, CA, pp 383–385

    Google Scholar 

  48. Yang S, Wolf W, Vijaykrishnan N (Jun 2005) Power and performance analysis of motion estimation based on hardware and software realizations. In: IEEE transactions on computers, pp 714–716

    Google Scholar 

  49. Yeung J, Mahmoodi H (Sep 2006) Robust Sense Amplifier Design Under Random Dopant Fluctuations In Nano-Scale CMOS technologies. In: IEEE international systems-on-chip conference, Austin, TX, pp 261–264

    Google Scholar 

  50. Yi K, Cheng SY, Kurdahi F, Eltawil A (2008) A Partial memory Protection scheme for higher effective yield of embedded memory for video Data. In: Asia-Pacific computer system architecture conference, Hsinchu, Taiwan, pp 273–278

    Google Scholar 

  51. Zhang K, Bhattacharya U, Chen Z, Hamzaoglu F, Murray D, Vallepalli N, Wang Y, Zheng B, Bohr M (Feb 2005) A 3-GHz 70 MB SRAM in 65 nm CMOS technology with integrated column-based dynamic power supply. In: International solid state circuits conference, San Francisco, CA, pp 474–611

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Hamid Mahmoodi .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Mahmoodi, H. (2011). Low-Power and Variation-Tolerant Memory Design. In: Bhunia, S., Mukhopadhyay, S. (eds) Low-Power Variation-Tolerant Design in Nanometer Silicon. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-7418-1_5

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-7418-1_5

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-7417-4

  • Online ISBN: 978-1-4419-7418-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics