Skip to main content

System Level Exploration for the Integration of Optical Networks on Chip in 3D MPSoC Architectures

  • Chapter
  • First Online:
Integrated Optical Interconnect Architectures for Embedded Systems

Part of the book series: Embedded Systems ((EMSY))

Abstract

Design trends for next-generation multi-processor systems on chip (MPSoC) point to the integration of a large number of processing elements onto a single chip, requiring high-performance interconnect structures for high-throughput communication. On-chip optical interconnect and 3D die stacking are currently considered to be the two most promising paradigms in this design context. New architectures based on these paradigms are currently emerging and new system-level approaches are required for their efficient design. We investigate design tradeoffs for 3D MPSoC integrating optical networks-on-chip (ONoC) and highlight current and short-term design trends. We also propose a system-level design space exploration flow that takes routing capabilities of optical interconnect into account. The resulting application-to-architecture mappings demonstrate the benefits of the presented 3D MPSoC architectures and the efficiency of our system-level exploration flow.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. International Technology Roadmap for Semiconductors (ITRS) [Online] Available http://public.itrs.net/. Accessed 30 Aug 2012

  2. Ho R, Mai W, Horowitz MA (2001) The future of wires. Proc IEEE 89(4):490–504

    Article  Google Scholar 

  3. Adler V, Friedman E (1998) Repeater design to reduce delay and power in resistive interconnect. IEEE Trans Circuits Syst II Analog Digital Signal Process 45(5):607–616

    Article  Google Scholar 

  4. Nookala V, Sapatnekar SS (2005) Designing optimized pipelined global interconnects: algorithms and methodology impact. In: Proceedings of IEEE international symposium on circuits and systems (ISCAS), Kobe Japan, pp 608–611

    Google Scholar 

  5. O’Connor I, Mieyeville F, Gaffiot F, Scandurra A, Nicolescu G (2008) Reduction methods for adapting optical network on chip topologies to specific routing applications. In: Proceedings of design of circuits and integrated systems, Grenoble, 12–14 November 2008

    Google Scholar 

  6. Kobrinsky MJ, Block BA, Zheng J-F, Barnett BC, Mohammed E, Reshotko M, Robertson F, List S, Young I, Cadien K (2004) On-chip optical interconnects. INTEL Technol J 8(2):129–141

    Google Scholar 

  7. Koester SJ, Dehlinger G, Schaub JD, Chu JO, Ouyang QC, Grill A (2005) Germanium-on-insulator photodetectors. In: IEEE international conference on group VI photonics, Antwerpen, Belgium, pp 171–173

    Google Scholar 

  8. Massoud Y et al (2008) Subwavelength nanophotonics for future interconnects and architectures. In: Invited talk, NRI SWAN Center, Rice University, in fact, it is a presentation given in Univeristy of Austin (see http://www.src.org/library/publication/p024870/)

  9. Miller D (2009) Device requirement for optical interconnects to silicon chips. Proc IEEE Special Issue Silicon Photon 97(7):1166–1185

    Google Scholar 

  10. Minz JR, Thyagara S, Lim SK (2007) Optical routing for 3-D system-on-package. IEEE Trans Components Packaging Technol 30(4):805–812

    Article  Google Scholar 

  11. O’Connor I, Gaffiot F (2004) On-chip optical interconnect for low-power. In: Macii E (ed) Ultra-low power electronics and design. Kluwer, Dordrecht

    Google Scholar 

  12. Gu H, Zhang W, Xu J (2009) A low-power fat tree-based optical network-on-chip for multiprocessor system-on-chip. In: Proceedings of design, automation, and test in Europe (DATE), Nice, France, pp 3–8

    Google Scholar 

  13. Gu H, Xu J, Wang Z (2008) A novel optical mesh network-on-chip for gigascale systems-on-chip. In: Proceedings of APCCAS, Macao, pp 1728–1731

    Google Scholar 

  14. Pasricha S, Dutt N (2008) ORB: an on-chip optical ring bus communication architecture for multi-processor systems-on-chip. In: Proceedings of ASP-DAC, seoul, korea, pp 789–794

    Google Scholar 

  15. Kirman N, Kirman M, Dokania RK, Martinez JF, Apsel AB, Watkins MA, Albonesi DH (2006) Leveraging optical technology in future bus-based chip multiprocessors. In: Proceedings of the 39th annual IEEE/ACM international symposium on microarchitecture, Orlando, Florida, USA

    Google Scholar 

  16. Shacham A, Bergman K, Carloni L (2008) Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans Comput 57(9):1246–1260

    Article  MathSciNet  Google Scholar 

  17. Vantrease D, Schreiber R, Monchiero M, McLaren M, Jouppi NP, Fiorentino M, Davis A, Binkert NL, Beausoleil RG, Ahn JH (2008) Corona: system implications of emerging nanophotonic technology. In: Proceedings of the international symposium on computer architecture (ISCA), Beijing, pp 153–164

    Google Scholar 

  18. Beausoleil RG, Ahn J, Binkert N, Davis A, Fattal D, Fiorentino M, Jouppi NP, McLaren M, Santori CM, Schreiber RS, Spillane SM, Vantrease D, Xu Q (2008) A nanophotonic interconnect for high-performance many-core computation. In: Proceedings of the 16th IEEE symposium on high performance interconnects, pp 182–189 Cardiff, UK

    Google Scholar 

  19. Pan Y, Kumar P, Kim J, Memik G, Zhang Y, Choudhary A (2009) Firefly: illuminating future network-on-chip with nanophotonics. In: Proceedings of International Symposium on Computer Architecture (ISCA), Austin, Texas, pp 429–440

    Google Scholar 

  20. Pan Y, Kim J, Memik G (2010) FlexiShare: channel sharing for an energy-efficient nanophotonic crossbar. In: Proceedings of the IEEE international symposium on high-performance computer architecture (HPCA), Bangalore, pp 1–12

    Google Scholar 

  21. Briere M, Girodias B, Bouchebaba Y, Nicolescu G, Mieyeville F, Gaffiot F, O’Connor I (2007) System level assessment of an optical NoC in an MPSoC platform. In: Proceedings of design automation and test in Europe, Nice, 16–20 April 2007, pp 1084–1089

    Google Scholar 

  22. Joshi A, Batten C, Kwon Y, Beamer S, Shamim I, Asanovic K, Stojanovic V (2009) Silicon-photonic clos networks for global on-chip communication. In: Proceedings of the 3rd ACM/IEEE international symposium on networks-on-chip (NOCS), Catania, Italy, pp 124–133

    Google Scholar 

  23. Cianchetti MJ, Kerekes JC, Albonesi DH (2009) Phastlane: a rapid transit optical routing network. In: In: Proceedings of International Symposium on Computer Architecture (ISCA), Austin, Texas, pp 441–450

    Google Scholar 

  24. Loi I, Angiolini F, Benini L (2007) Supporting vertical links for 3D networks-on-chip: toward an automated design and analysis flow. In: Proceedings of international conference on nano-networks, Catania, Italy, pp 15:1–15:5

    Google Scholar 

  25. Seiculescu C, Murali S, Benini L, De Micheli G (2010) Sunfloor 3D: a tool for networks on chip topology synthesis for 3-D systems on chips. IEEE Trans Comput Aided Des Integr Circuits Syst 29:1987–2000

    Article  Google Scholar 

  26. Zhou P, Yuh P-H, Sapatnekar SS (2010) Application-specific 3D network-on-chip design using simulated allocation. In: Proceedings of the Asia and South Pacific design automation conference (ASPDAC), Taipei, pp 517–522

    Google Scholar 

  27. Weerasekeraet R, Zheng LR, Pamunuwa D, Tenhunen H (2007) Extending systems-on-chip to the third dimension: performance, cost and technological tradeoffs. In: Proceedings of international conference on computer-aided design, San Jose, pp 212–219

    Google Scholar 

  28. Pavlidis VF, Friedman EG (2007) 3-D topologies for networks-on-chip. IEEE Trans VLSI Syst 15(10):285–288

    Article  Google Scholar 

  29. Feero BS, Pande PP (2009) Networks-on-chip in a three-dimensional environment: a performance evaluation. IEEE Trans Comput 58(1):32–45

    Article  MathSciNet  Google Scholar 

  30. Feihui L, Nicopoulos C, Richardson T, Xie Y, Narayanan V, Kandemir M (2006) Design and management of 3D chip multiprocessors using network-in-memory. ACM SIGARCH Comput Archit News 34(2):130–141

    Article  Google Scholar 

  31. Bartzas A, Skalis N, Siozios K, Soudris D (2007) Exploration of alternative topologies for application-specific 3D networks-on-chip. In Workshop on application specific processors (WASP). Salzburg, Austria, doi:10.1.1.100.61303.

    Google Scholar 

  32. Shan Y, Bill Lin L (2008) Design of application-specific 3D networks-on-chip architectures. In: Proceedings of IEEE international conference on computer design (ICCD), Lake Tahoe, CA, pp 142–149

    Google Scholar 

  33. Rahman A, Reif R (2000) System-level performance evaluation of three-dimensional integrated circuits. IEEE Trans VLSI Syst 8(6):671–678

    Article  Google Scholar 

  34. Facchini M, Carlson T, Vignon A, Palkovic M, Catthoor F, Dehaene W, Benini L, Marchal P (2009) System-level power/performance evaluation of 3D stacked DRAMs for mobile applications. In: Proceedings design, automation, and test in Europe (DATE), Nice, France, pp 923–928

    Google Scholar 

  35. Dong X, Xie Y (2009) System-level cost analysis and design exploration for three-dimensional integrated circuits (3D ICs). In: Proceedings of ASP-DAC, Yokohama, pp 234–241

    Google Scholar 

  36. Addo-Quaye C (2005) Thermal-aware mapping and placement for 3-D NoC designs. In: Proceedings of IEEE international systems-on-chip conference, Herndon, VA, pp 25–28

    Google Scholar 

  37. Spuesens T, Liu L, de Vries T, Romeo PR, Regreny P, Van Thourhout D (2009) Improved design of an InP-based microdisk laser heterogeneously integrated with SOI. In: 6th IEEE international conference on group IV photonics (GFP), San Francisco, pp 202–204

    Google Scholar 

  38. Le Beux S, Bois G, Nicolescu G, Bouchebaba Y, Langevin M, Paulin P (2010) Combining mapping and partitioning exploration for NoC-based embedded systems. J Syst Archit 56(7): 223–232

    Article  Google Scholar 

  39. Srinivas N, Deb K (1994) Multiobjective optimization using nondominated sorting in genetic algorithms. Evol Comput 2(3):221–248

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sébastien Le Beux .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Le Beux, S., Trajkovic, J., O’Connor, I., Nicolescu, G., Bois, G., Paulin, P. (2013). System Level Exploration for the Integration of Optical Networks on Chip in 3D MPSoC Architectures. In: O'Connor, I., Nicolescu, G. (eds) Integrated Optical Interconnect Architectures for Embedded Systems. Embedded Systems. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-6193-8_8

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-6193-8_8

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-6192-1

  • Online ISBN: 978-1-4419-6193-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics