Skip to main content

Rapid Technology-Aware Design Space Exploration for Embedded Heterogeneous Multiprocessors

  • Chapter
  • First Online:
Processor and System-on-Chip Simulation

Abstract

Multicore architectures provide scalable performance with a hardware design effort lower than for a single core processor with similar performance. This chapter presents a design methodology and an embedded multicore architecture focusing on boosting performance density and reducing the software design complexity. The methodology is based on a predictive formula computing performance of heterogeneous multicores, which allows drastic pruning of the design space for few accurate simulations. Using this design space exploration methodology for high definition and quad high definition H.264 video decoding, the resulting areas for a multicore system in CMOS 45 nm are 2.5 and 8.6 mm2, respectively. These results show that heterogeneous chip multiprocessors are cost-effective for embedded applications.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. ACOTES programming model, submitted to the International Journal on Parallel Programming, Springer, New York, (2009).

    Google Scholar 

  2. Al-Kadi, G., Terechko, A.S.: A hardware task scheduler for embedded video processing. In: Proceedings of the 4th International Conference on High Performance and Embedded Architectures and Compilers, Paphos, Cyprus, January 25–28, (2009).

    Google Scholar 

  3. Azevedo, A., Meenderinck, C., Juurlink, B.H.H., Terechko, A., Hoogerbrugge, J., Alvarez, M., Ramírez, A.: Parallel H.264 decoding on an embedded multicore processor. HiPEAC 404–418, (2009).

    Google Scholar 

  4. Christie, P., Nackaerts, A., Kumar, A., Terechko, A.S., Doornbos, G.: Rapid design flows for advanced technology pathfinding, invited paper. In: International Electron Devices Meeting, San Francisco, CA, (2008).

    Google Scholar 

  5. Darema, F.: SPMD model: Past, present and future. In: Recent Advances in Parallel Virtual Machine and Message Passing Interface: 8th European PVM/MPI Users’ Group Meeting, Santorini/Thera, Greece, September 23–26, (2001). Lecture Notes in Computer Science 2131, p. 1, (2001).

    Google Scholar 

  6. H.264/MPEG-4 Part 10 White Paper, two page summary of MPEG CABAC, available at http://www.rgu.ac.uk/files/h264_cabac.pdf. Accessed October 2009.

  7. Hill, M.D.: What is scalability?. ACM SIGARCH Comput Arch News Arch 18(4), 18–21, December (1990).

    Article  Google Scholar 

  8. Hill, M.D., Marty, M.R.: Amdahl’s law in the multicore era. IEEE Comput July (2008).

    Google Scholar 

  9. Hoogerbrugge, J., Augusteijn, L.: Instruction scheduling for trimedia. J Instruct Level Parallelism 1, (1999).

    Google Scholar 

  10. Hoogerbrugge, J., Terechko, A.: A multithreaded multicore system for embedded media processing. Trans High-Performance Embedded Arch Compilers 4(2), (2008).

    Google Scholar 

  11. Kumar, R., Jouppi, N., Tullsen, D.: Conjoined-core chip multiprocessing. In: 37th International Symposium on Microarchitecture, Micro-37, Portland, Oregon, December (2004).

    Google Scholar 

  12. Kumar, R., Tullsen, D.M., Jouppi, N.P.: Core architecture optimization for heterogeneous chip multiprocessors. In: Proceedings of the Parallel Architectures and Compiler Techniques, pp. 23–32, (2006).

    Google Scholar 

  13. Limberg, T., Winter, M., Bimberg, M., et al.: A heterogeneous MpSoc with hardware supported dynamic task scheduling for software defined radio. In: Design Automation Conference, San Francisco, CA, July, (2009).

    Google Scholar 

  14. Mogul, J.C., Mudigonda, J., Binkert, N., Rangana-than, P., Talwar, V.: Using asymmetric single-ISA CMPs to save energy on operating systems. IEEE Micro (2008).

    Google Scholar 

  15. Rock: A SPARC CMT Processor, In: HotChips, Stanford, (2008).

    Google Scholar 

  16. Själander, M., Terechko, A., Duranton, M.: A look-ahead task management unit for embedded multi-core architectures. In: Proceedings of the 2008 11th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools. IEEE Computer Society Washington, DC, USA, pp. 149–157, (2008), ISBN:978–0-7695–3277–6.

    Google Scholar 

  17. Technology-Aware Design, IMEC, Leuven, http://www.imec.be/tad/. September, (2009).

  18. Terechko, A., Hoogerbrugge, J., Al-Kadi, G., Lahiri, A., Guntur, S., Duranton, M., Christie, P., Nackaerts, A., Kumar, A.: Performance density exploration of heterogeneous multicore architectures. In: Invited Presentation at Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO’09), January 25 2009, in conjunction with the 4th International Conference on High-Performance and Embedded Architectures and Compilers (HiPEAC), Paphos, Cyprus, January 25–28, (2009).

    Google Scholar 

  19. Terechko, A., Hoogerbrugge, J., Al-Kadi, G., Guntur, S., Lahiri, A., Duranton, M., Wüst, C., Christie, P., Nackaerts, A., Kumar, A.: Balancing programmability and silicon efficiency of heterogeneous multicore architectures, to be published In: ACM Transactions on Embedded Computing Systems, special issue on Embedded Systems for Real-time Multimedia, (2010).

    Google Scholar 

  20. Ungerer, T., Robic, B., Silc, J.: A survey of processors with explicit multithreading. ACM Comput Surv (CSUR) Arch 35(1), pp. 29–63, March (2003).

    Article  Google Scholar 

  21. van de Waerdt, J.-W., Vassiliadis, S., Sanjeev, D., Mirolo, S., Yen, C., Zhong, B., Basto, C., van Itegem, J.-P., Dinesh, A., Kulbhushan, K., Rodriguez, P., van Antwerpen, H.: The TM3270 media-processor. Philips Semiconductors, San Jose, CA, Microarchitecture, (2005). MICRO-38. In: Proceedings of the 38th Annual IEEE/ACM International Symposium, 16–16 November (2005), pp. 12–342; Barcelona, ISBN: 0–7695–2440–0.

    Google Scholar 

Download references

Acknowledgments

The authors would like to thank Philip Christie and his team for their contribution to this work and the ACOTES project (European project IST-034869) for his valuable contributions to the programming model of the Ne-XVP architecture.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Marc Duranton .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+business Media, LLC

About this chapter

Cite this chapter

Duranton, M., Hoogerbrugge, J., Al-kadi, G., Guntur, S., Terechko, A. (2010). Rapid Technology-Aware Design Space Exploration for Embedded Heterogeneous Multiprocessors. In: Leupers, R., Temam, O. (eds) Processor and System-on-Chip Simulation. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-6175-4_16

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-6175-4_16

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-6174-7

  • Online ISBN: 978-1-4419-6175-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics