Skip to main content

Co-optimization of Power, Thermal, and Signal Interconnect for 3D ICs

  • Chapter
  • First Online:
Three Dimensional System Integration

Abstract

Historically, advances in the field of packaging and system integration have not progressed at the same rate as ICs. In fact, today’s silicon ancillary technologies have truly become a limiter to the performance gains possible from advances in semiconductor manufacturing, especially due to cooling, power delivery, and ­signaling [1, 2]. Today, it is widely accepted that three-dimensional (3D) system integration is a key enabling technology and has recently gained significant momentum in the semiconductor industry. Three-dimensional integration may be used either to partition a single chip into multiple strata to reduce on-chip global interconnect lengths [3] and/or used to stack chips that are homogeneous or heterogeneous. AQ: Please check if the inserted citation of Fig. 6.1 is appropriate.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    These silicon ancillary technologies continue to advance, and the size of the related interconnects and TSVs continues to scale down. Our study will be helpful in evaluating these advances in the context of full layout and routing environment.

References

  1. Shahidi GG (2007) Evolution of CMOS technology at 32nm and beyond. In: Proceedings of the IEEE custom integrated circuits conference, pp 413–416

    Google Scholar 

  2. Bakir M, Dang B, Meindl J (2007) Revolutionary nanosilicon ancillary technologies for ultimate-performance gigascale systems. In: Proceedings of the IEEE custom integrated circuits conference, pp 421–428

    Google Scholar 

  3. Joyner JW, Zarkesh-Ha P, Meindl JD (2004) Global interconnect design in a three-dimensional system-on-a-chip. IEEE Trans VLSI Syst 12(2):367–371 AQ: Please check if the inserted volume number “12” is appropriate in reference [Joyner et al., 2004].

    Google Scholar 

  4. King CK, Sekar D, Bakir MS, Dang B, Pikarsky J, Meindl JD (2008) 3D stacking of chips with electrical and microfluidic I/O interconnects. In: Proceedings of the electronics components and technology conference

    Google Scholar 

  5. Sekar D, King C, Dang B, Spencer T, Thacker H, Joseph P, Bakir MS, Meindl JD (2008) A 3D-IC technology with integrated microchannel cooling. In: Proceedings of the international interconnect technology conference

    Google Scholar 

  6. Bakir MS, King C, Sekar D, Thacker H, Dang B, Huang G, Naeemi A, Meindl JD (2008) 3D heterogeneous integrated systems: liquid cooling, power delivery, and implementation. In: Proceedings of the IEEE custom integrated circuits conference

    Google Scholar 

  7. ITRS (2007) Executive summary. In: International technology roadmap for semiconductors, 2007 edition

    Google Scholar 

  8. Fiduccia C, Mattheyses R (1982) A linear time heuristic for improving network partitions. In: Proceedings of the ACM design automation conference, pp 175–181

    Google Scholar 

  9. Kirkpatrick S, Gelatt CD, Vecchi MP (1983) Optimization by simulated annealing. Science 220:671–680

    Article  Google Scholar 

  10. Pathak M, Lim SK (2007) Thermal-aware Steiner Routing for 3D Stacked ICs. In: Proceedings of the IEEE international conference on computer-aided design, pp 205–211

    Google Scholar 

  11. Koo J-M, Im S, Jiang L, Goodson KE (2005) Integrated microchannel cooling for three-dimensilonal electronic architecture. J Heat Transfer 127:49–58

    Article  Google Scholar 

  12. Patankar SV (1980) Numerical heat transfer and fluid flow. Hemisphere Publishing Corp., Washington, DC

    Google Scholar 

  13. Huang G, Bakir M, Naeemi A, Chen H, Meindl JD (2007). Power delivery for 3D chip stacks: physical modeling and design implication. In: Proceedings of the IEEE electrical performance of electronic packaging, pp 205–208

    Google Scholar 

  14. Morton S (2002) Inductance: implications and solutions for high-speed digital circuits – on-chip signaling. In: Proceedings of international solid-state circuit conference, vol 2, pp 554–556

    Google Scholar 

  15. Zhou Q, Sun K, Mohanram K, Sorensen DC (2006) Large power grid analysis using domain decomposition. In: Proceedings of the design, automation and test in Europe

    Google Scholar 

  16. Sakurai T, Tamaru K (1983) Simple formulas for two- and three-dimensional capacitances. IEEE Trans Electron Devices 30:183–185

    Article  Google Scholar 

  17. Mistry K et al (2007) A 45 nm logic technology with high-k+metal gate transistors, strained silicon, 9 cu interconnect layers, 193 nm dry patterning, and 100% pb-free packaging. In: IEEE International Electron Devices Meeting, pp 247–250

    Google Scholar 

  18. Fisher RA (1935) The design of experiments. Oliver and Boyd, London

    Google Scholar 

  19. Myers RH, Montgomery DC (1995) Response surface ­methodology: process and product optimization using designed experiments. Wiley, New York

    Google Scholar 

  20. Brglez F, Drechsler R (1999) Design of experiments in CAD: context and new data sets for ISCAS’99. In: Proceedings of the IEEE international symposium on circuits and systems, vol 6, pp 424–427

    Google Scholar 

  21. Zhang Q, Liou JJ, McMacken J, Thomson J, Layman P (2001) Development of robust interconnect model based on design of experiments and multiobjective optimization. IEEE Trans Electron Devices 48(9):1885–1891

    Article  Google Scholar 

  22. Nookala V, Chen Y, Lilja DJ, Sapatnekar SS (2005) Microarchitecture-aware floorplanning using a statistical design of experiments approach. In Proceedings of the ACM Design Automation Conference, pp 579–584

    Google Scholar 

  23. Derringer G, Suich R (1980) Simultaneous optimization of several response variables. J Qual Technol 12(4):214–219

    Google Scholar 

  24. Nam G-J (2006) ISPD 2006 placement contest http://www.sigda.org/ispd2006/contest.html

  25. Huang G, Sekar D, Naeemi A, Shakeri K, Meindl, JD (2007) Compact physical models for power supply noise and chip/package co-design of gigascale integration. In: IEEE electronic components and technology conference, pp 1659–1666

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sung Kyu Lim .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Lee, YJ., Healy, M., Lim, S.K. (2011). Co-optimization of Power, Thermal, and Signal Interconnect for 3D ICs. In: Papanikolaou, A., Soudris, D., Radojcic, R. (eds) Three Dimensional System Integration. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-0962-6_6

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-0962-6_6

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-0961-9

  • Online ISBN: 978-1-4419-0962-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics