Skip to main content

Existing Leakage Minimization Approaches

  • Chapter
  • First Online:
  • 621 Accesses

Abstract

In recent times, leakage power reduction has received much attention in academia as well as industry. Several means of reducing leakage power have been proposed. Some of these are mentioned here.

One of the natural techniques to reduce the leakage of a circuit is to gate the power supply using power-gating transistors (also called sleep transistors). Typically high- V T power-gating transistors are placed between the power supplies and the logic gates. This is called the MTCMOS (Multi-threshold CMOS) approach [14, 17]. In standby, these power-gating transistors are turned off, thus shutting off power to the gates of the circuit. The MTCMOS approach can reduce circuit leakages by up to 2–3 orders of magnitude (depending on the threshold voltages and size of the sleep transistors used). However, the addition of sleep transistors causes an increase in the delay of the circuit. This delay penalty can be reduced by appropriately sizing up the sleep transistor. The downside to the up-sizing of the sleep transistor is the accompanied increase in the time and switching energy spent in waking up the circuit. As a consequence, power-gating (turning off the sleep transistors) is applied only when the circuit is expected to be in the standby state for a long period of time and when the wake-up time is tolerable. If a circuit using power-gating/sleep transistors goes in and comes out of the standby state too often, the power consumptionmay actually increase due to the higher power consumed in waking up the circuit. Another disadvantage of the MTCMOS approach is the fact that implementation of this technique requires circuit modification and possibly additional process steps (since high-V T sleep transistors are used). Also, since cell inputs and outputs as well as bulk nodes float in an MTCMOS design operating in standby mode, the precise prediction or control of leakage is extremely difficult in MTCMOS. The voltage of these floating nodes can significantly affect the device threshold voltages. Hence, it is very difficult to precisely predict or control leakage in MTCMOS designs. Another drawback of MTCMOS is that memory elements in MTCMOS would require clean power supplies routed to them if we want to maintain their state in standby mode [17].

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   89.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Abdollahi, A., Fallah, F., Pedram, M.: Leakage Current Reduction in CMOS VLSI Circuits by Input Vector Control. IEEE Transactions on VLSI Systems 12(2), 140–154 (2004)

    Article  Google Scholar 

  2. Abramovici, M., Breuer, M.A., Friedman, A.D.: Digital Systems Testing and Testable Design. IEEE Press, New York, NY (1990)

    Google Scholar 

  3. Aloul, F., Hassoun, S., Sakallah, K., Blauuw, D.: Robust SAT-Based Search Algorithm for Leakage Power Reduction. In: Proc. Power and Timing Models and Simulation. Seville, Spain (2002)

    Google Scholar 

  4. Assaderaghi, F., Sinitsky, D., Parke, S.A., Bokor, J., Ko, P.K., Hu, C.: Dynamic Threshold-Voltage MOSFET (DTMOS) for Ultra-low Voltage VLSI. IEEE Transactions on Electron Devices 44(3), 414–422 (1997)

    Article  Google Scholar 

  5. Bahar, R.I., Frohm, E.A., Gaona, C.M., Hachtel, G.D., Macii, E., Pardo, A., Somenzi, F.: Algebraic Decision Diagrams and Their Applications. Formal Methods in Systems Design 10(2/3), 171–206 (1997)

    Article  Google Scholar 

  6. Chopra, K., Vrudhula, S.: Implicit Pseudo Boolean Enumeration Algorithms for Input Vector Control. In: Proc. Design Automation Conference, pp. 767–772. San Diego, CA (2004)

    Google Scholar 

  7. Duarte, D., Tsai, Y., Vijaykrishnan, N., Irwin, M.J.: Evaluating Run-Time Techniques for Leakage Power Reduction. In: 7th ASPDAC/15th International Conference on VLSI Design (2002)

    Google Scholar 

  8. Ferre, A., Figueras, J.: Characterization of Leakage Power in CMOS Technologies. In: Proc., IEEE International Conference on Electronics Circuits and Systems, pp. 85–188 (1998)

    Google Scholar 

  9. Gao, F., Hayes, J.: Exact and Heuristic Approaches to Input Vector Control for Leakage Power Reduction. In: Proc. International Conference on Computer-Aided Design, pp. 527–532. San Jose, CA (2004)

    Google Scholar 

  10. Halter, J., Najm, F.: A Gate-Level Leakage Power Reduction Method for Ultra Low Power CMOS Circuits. In: Proc. Custom Integrated Circuits Conference, pp. 475–478. Santa Clara, CA (1997)

    Google Scholar 

  11. Hyunsik, I., Inukai, T., Gomyo, H., Hiramoto, T., Sakurai, T.: VTCMOS Characteristics and Its Optimum Conditions Predicted by a Compact Analytical Model. In: Proc. International Symposium on Low Power Electronics and Design, pp. 123–128. Huntington Beach, CA (2001)

    Google Scholar 

  12. Inukai, T., Hiramoto, T., Sakurai, T.: Variable Threshold Voltage CMOS (VTCMOS) in Series Connected Circuits. In: Proc. International Symposium on Low Power Electronics and Design, pp. 201–206. Huntington Beach, CA (2001)

    Google Scholar 

  13. Johnson, M., Somasekhar, D., Roy, K.: Models and Algorithms for Bounds on Leakage in CMOS Circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 18(6), 714–725 (1999)

    Article  Google Scholar 

  14. Kao, J.T., Chandrakasan, A.P.: Dual-Threshold Voltage Techniques for Low-Power Digital Circuits. IEEE Journal of Solid-State Circuits 35(7), 1009–1018 (2000)

    Article  Google Scholar 

  15. Kumagai, K., Iwaki, H., Yoshida, H., Suzuki, H., Yamada, T., Kurosawa, S.: A Novel Powering-down Scheme for Low Vt CMOS Circuits. In: Digest of Technical Papers, Symposium on VLSI Circuits, pp. 44–45. Honolulu, HI (1998)

    Google Scholar 

  16. Kuroda, T., Fujita, T., Mita, S., Nagamatsu, T., Yoshioka, S., Suzuki, K., Sano, F., Norishima, M., Murota, M., Kako, M., Kakumu, M.K.M., Sakurai, T.: A 0.9-V, 150-MHz, 10-mW, 4 mm 2, 2-D Discrete Cosine Transform Core Processor with Variable Threshold-Voltage (VT) Scheme. IEEE Journal of Solid-State Circuits 31(11), 1770–1779 (1996)

    Google Scholar 

  17. Mutoh, S., Douseki, T., Matsuya, Y., Aoki, T., Shigematsu, S., Yamada, J.: 1-V Power Supply High-Speed Digital Circuit Technology with Multithreshold-Voltage CMOS. IEEE Journal of Solid-State Circuits 30(8), 847–854 (1995)

    Article  Google Scholar 

  18. Rabaey, J.: Digital Integrated Circuits: A Design Perspective. Prentice Hall Electronics and VLSI Series. Prentice Hall, Upper Saddle River, NJ (1996)

    Google Scholar 

  19. Rao, R., Liu, F., Burns, J., Brown, R.: A Heuristic to Determine Low Leakage Sleep State Vectors for CMOS Combinational Circuits. In: Proc. International Conference on Computer-aided Design, pp. 689–692. San Jose, CA (2003)

    Google Scholar 

  20. Zhanping, C., Johnson, M., Liqiong, W., Roy, W.: Estimation of Standby Leakage Power in CMOS Circuit Considering Accurate Modeling of Transistor Stacks. In: Proc. International Symposium on Low Power Electronics and Design, pp. 239–244. Monterey, CA (1998)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Nikhil Jayakumar .

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Jayakumar, N., Paul, S., Garg, R., Gulati, K., Khatri, S.P. (2010). Existing Leakage Minimization Approaches. In: Minimizing and Exploiting Leakage in VLSI Design. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-0950-3_2

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-0950-3_2

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-0949-7

  • Online ISBN: 978-1-4419-0950-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics